Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
-
Patent number: 10426020Abstract: Steering system for a droplet generator in a EUV system. The steering system permits controlled positioning of a droplet release point of the droplet generator. A movable member holding the droplet generator is coupled to stationary elements of the EUV system through a coupling system having a first subsystem that constrains lateral translation of the movable member, and a second subsystem that controls a relative inclination of the movable member. The first and second subsystems preferably include one or a combination of flexures that permit highly precise and repeatable positioning.Type: GrantFiled: February 2, 2016Date of Patent: September 24, 2019Assignee: ASML NETHERLANDS B.V.Inventors: Peter M. Baumgart, John Martin Algots, Abhiram Govindaraju, Chirag Rajyaguru
-
Patent number: 10418266Abstract: An electrostatic chuck according to an embodiment is an electrostatic chuck for adsorbing an object. The electrostatic chuck includes a base body having a first surface that includes a bottom surface and a protruding surface part protruding from the bottom surface. The protruding surface part has a first top surface and a step surface disposed between the first top surface and the bottom surface.Type: GrantFiled: November 21, 2014Date of Patent: September 17, 2019Assignee: KYOCERA CorporationInventor: Masahiko Horiuchi
-
Patent number: 10415804Abstract: A lighting system includes a housing and a finishing section. The housing defines a cavity and includes at least one magnet mounted inside the cavity. The finishing section includes a second magnet mounted adjacent to a side of the finishing section and oriented so that the second magnet and the first magnet attract each other when the finishing section is inserted into the housing.Type: GrantFiled: October 20, 2017Date of Patent: September 17, 2019Assignee: Eaton Intelligent Power LimitedInventors: Grzegorz Wronski, Jared Michael Davis, Barton Kirk Ideker
-
Patent number: 10393675Abstract: An x-ray inspection system including a cabinet containing an x-ray source, a sample support for supporting a sample to be inspected, and an x-ray detector; an air mover configured to force air into the cabinet through an air inlet above the sample support, where the air mover and cabinet are configured to force air through the cabinet from the air inlet past the sample support to an air outlet in the cabinet below the sample support, and an assembly for positioning the sample support relative to the x-ray source and x-ray detector. The sample support includes an upper surface extending in a horizontal plane and the sample positioning assembly includes a vertical positioning mechanism for moving the sample support in a vertical direction, orthogonal to the horizontal plane, and a first horizontal positioning mechanism for moving the sample support and vertical positioning mechanism in a first horizontal direction.Type: GrantFiled: April 3, 2015Date of Patent: August 27, 2019Assignee: Nordson CorporationInventors: John Tingay, William T. Walker, Phil King, Simon White, Kate Donaldson-Stewart
-
Patent number: 10372032Abstract: The present application relates to a method for permanently repairing defects of absent material of a photolithographic mask, comprising the following steps: (a) providing at least one carbon-containing precursor gas and at least one oxidizing agent at a location to be repaired of the photolithographic mask; (b) initiating a reaction of the at least one carbon-containing precursor gas with the aid of at least one energy source at the location of absent material in order to deposit material at the location of absent material, wherein the deposited material comprises at least one reaction product of the reacted at least one carbon-containing precursor gas; and (c) controlling a gas volumetric flow rate of the at least one oxidizing agent in order to minimize a carbon proportion of the deposited material.Type: GrantFiled: February 24, 2017Date of Patent: August 6, 2019Assignee: Carl Zeiss SMT GmbHInventors: Jens Oster, Kinga Kornilov, Tristan Bret, Horst Schneider, Thorsten Hofmann
-
Patent number: 10359276Abstract: Provided are an apparatus and a method for measuring a three dimensional shape with improved accuracy. The apparatus includes a stage, at least one lighting unit, a plurality of image pickup units and a control unit. The stage supports an object to be measured. The lighting unit includes a light source and a grid, and radiates grid-patterned light to the object to be measured. The image pickup units capture, in different directions, grid images reflected from the object to be measured. The control unit calculates a three dimensional shape of the object from the grid images captured by the image pickup units. The present invention has advantages in capturing grid images through a main image pickup portion and sub-image pickup portions, enabling the measurement of the three dimensional shape of the object in a rapid and accurate manner.Type: GrantFiled: October 21, 2016Date of Patent: July 23, 2019Assignee: KOH YOUNG TECHNOLOGY INC.Inventors: Seung-Jun Lee, Kwangill Koh, Moon-Young Jeon, Sang-Kyu Yun, Hong-Min Kim, Jung Hur
-
Patent number: 10337991Abstract: Metrology scatterometry targets, optical systems and corresponding metrology tools and measurement methods are provided. Targets and/or optical systems are designed to enhance first order diffraction signals with respect to a zeroth order diffraction signal from the scatterometry target by creating a phase shift of 180° between zeroth order diffraction signals upon illumination of the scatterometry targets. For example, the targets may be designed to respond to polarized illumination by producing a first phase shift between zeroth order diffraction signals upon illumination thereof and optical systems may be designed to illuminate the target by polarized illumination and to analyze a resulting diffraction signal to yield a second phase shift between zeroth order diffraction signals upon illumination thereof. The phase shifts add up to 180° to cancel out the zeroth order diffraction signals, with either phase shift being between 0 and 180°.Type: GrantFiled: June 1, 2016Date of Patent: July 2, 2019Assignee: KLA-Tencor CorporationInventor: Vladimir Levinski
-
Patent number: 10339645Abstract: Provided is a defect detection device capable of measuring the volume of surface defects. The defect detection device includes: an imaging device configured to image an image of an inspection object; a binarization processing unit configured to subject the image to first and second binarization processing by use of different first and second binarization thresholds, so as to calculate first and second sizes for an identical defect in the image; a ratio calculation unit configured to calculate a first ratio of the second size to the first size; and a depth determination unit configured to determine a depth of the defect depending on the first ratio.Type: GrantFiled: September 29, 2017Date of Patent: July 2, 2019Assignee: Nissan Motor Co., Ltd.Inventors: Hirohisa Shibayama, Eiji Shiotani, Satoru Sakurai, Kiyokazu Sugiyama, Akira Shimizu, Daisuke Terada, Yoshitsugu Noshi, Yoshito Utsumi
-
Patent number: 10324382Abstract: A support table for a lithographic apparatus, the support table having a support section and a conditioning system, wherein the support section, the conditioning system, or both, is configured such that heat transfer to or from a substrate supported on the support table, resulting from the operation of the conditioning system, is greater in a region of the substrate adjacent an edge of the substrate than it is in a region of the substrate that is at the center of the substrate.Type: GrantFiled: April 20, 2018Date of Patent: June 18, 2019Assignee: ASML NETHERLANDS B.V.Inventors: Johan Gertrudis Cornelis Kunnen, Martijn Houben, Thibault Simon Mathieu Laurent, Hendrikus Johannes Marinus Van Abeelen, Armand Rosa Jozef Dassen, Sander Catharina Reinier Derks
-
Patent number: 10319563Abstract: The disclosure relates to an electronic beam machining system. The system includes a vacuum chamber; an electron gun located in the vacuum chamber and used to emit electron beam; a holder located in the vacuum chamber and used to fix an object; a control computer; and a diffraction unit located in the vacuum chamber; the diffraction unit includes a two-dimensional nanomaterial; the electron beam transmits the two-dimensional nanomaterial to form a transmission electron beam and a plurality of diffraction electron beams; the transmission electron beam and the plurality of diffraction electron beams radiate the object to form a transmission spot and a plurality of diffraction spots.Type: GrantFiled: December 14, 2018Date of Patent: June 11, 2019Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.Inventors: Peng Liu, Wei Zhao, Xiao-Yang Lin, Duan-Liang Zhou, Chun-Hai Zhang, Kai-Li Jiang, Shou-Shan Fan
-
Patent number: 10308514Abstract: Systems, methods and tools for the synthesis of products via mechanosynthesis, including a set of atomically-precise tips and associated reactions, methods for determining build sequences for workpieces, exemplary build sequences, and methods for creating new reactions, build sequences and tips.Type: GrantFiled: February 22, 2016Date of Patent: June 4, 2019Assignee: CBN Nano Technologies Inc.Inventors: Robert A. Freitas, Ralph C. Merkle
-
Patent number: 10310392Abstract: A positioning device comprising an object table and a positioning module configured to position the object table. The positioning module comprises a first positioning module member configured to hold the object table, a second positioning module member configured to support the first positioning module member, and a support frame configured to support the second positioning module member. The positioning module also includes one or more actuators, a position measurement system configured to measure a position of the object table, and a control unit configured to control a position of the object table based on the measured position of the object table. The control unit is further configured to control a vertical position of the second position module member so as to maintain a top surface of the second positioning module member substantially parallel to a bottom surface of the first positioning module member.Type: GrantFiled: November 17, 2016Date of Patent: June 4, 2019Assignee: ASML NETHERLANDS B.V.Inventors: Hans Butler, Johannes Petrus Martinus Bernardus Vermeulen, Engelbertus Antonius Fransiscus Van Der Pasch
-
Patent number: 10312052Abstract: Provided is an assembly for inspecting the surface of a sample. The assembly includes two or more multi-beam electron column units. Each unit has: a single thermal field emitter for emitting a diverging electron beam towards a beam splitter; wherein the beam splitter includes a first multi-aperture plate having multiple apertures for creating multiple primary electron beams; a collimator lens for collimating the diverging electron beam from the emitter; an objective lens unit for focusing said multiple primary electron beams on said sample; and a multi-sensor detector system for separately detecting the intensity of secondary electron beams created by each one of said focused primary electron beams on said sample. The two or more multi-beam electron column units are arranged adjacent to each other for inspecting different parts of the surface of the sample at the same time.Type: GrantFiled: September 3, 2015Date of Patent: June 4, 2019Assignee: TECHNISCHE UNIVERSITEIT DELFTInventor: Pieter Kruit
-
Patent number: 10303061Abstract: An extreme ultraviolet light generation device includes: an EUV sensor configured to measure energy of extreme ultraviolet light generated when a target is irradiated with a plurality of laser beams in a predetermined region in a chamber; an irradiation position adjustment unit configured to adjust at least one of irradiation positions of the laser beams with which the target is irradiated in the predetermined region; an irradiation timing adjustment unit configured to adjust at least one of irradiation timings of the laser beams with which the target is irradiated in the predetermined region; and a control unit configured to control the irradiation position adjustment unit and the irradiation timing adjustment unit, the control unit controlling the irradiation position adjustment unit and then controlling the irradiation timing adjustment unit based on a measurement result of the EUV sensor.Type: GrantFiled: June 6, 2018Date of Patent: May 28, 2019Assignee: Gigaphoton Inc.Inventors: Yuichi Nishimura, Takayuki Yabu, Yoshifumi Ueno
-
Patent number: 10297417Abstract: The disclosure relates to a method for characterizing a two-dimensional nanomaterial sample. The two-dimensional nanomaterial sample is placed in a vacuum chamber. An electron beam passes through the two-dimensional nanomaterial sample to form a diffraction electron beam and a transmission electron beam to form an image on an imaging device. An angle ? between the diffraction electron beam and the transmission electron is obtained. A lattice period d of the two-dimensional nanomaterial sample is calculated according to a formula d sin ??d?=?, where ? represents a wavelength of the electron beam.Type: GrantFiled: June 6, 2017Date of Patent: May 21, 2019Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.Inventors: Peng Liu, Wei Zhao, Xiao-Yang Lin, Duan-Liang Zhou, Chun-Hai Zhang, Kai-Li Jiang, Shou-Shan Fan
-
Patent number: 10290462Abstract: An apparatus for the creation of high current ion beams is disclosed. The apparatus includes an ion source, such as a RF ion source or an indirectly heated cathode (IHC) ion source, having an extraction aperture. Disposed proximate the extraction aperture is a bias electrode, which has a hollow center portion that is aligned with the extraction aperture. A magnetic field is created along the perimeter of the hollow center portion, which serves to contain electrons within a confinement region. Electrons in the confinement region energetically collide with neutral particles, increasing the number of ions that are created near the extraction aperture. The magnetic field may be created using two magnets that are embedded in the bias electrode. Alternatively, a single magnet or magnetic coils may be used to create this magnetic field.Type: GrantFiled: February 9, 2018Date of Patent: May 14, 2019Assignee: Varian Semiconductor Equipment Associates, Inc.Inventors: Bon-Woong Koo, Alexandre Likhanskii, Svetlana B. Radovanov, Anthony Renau
-
Patent number: 10274839Abstract: A method for controlling semiconductor production through use of a Focus Exposure Matrix (FEM) model includes taking measurements of characteristics of a two-dimensional mark formed onto a substrate, the two-dimensional mark including two different patterns along two different cut-lines, and comparing the measurements with a FEM model to determine focus and exposure conditions used to form the two-dimensional mark. The FEM model was created using measurements taken of corresponding two-dimensional marks formed onto a substrate under varying focus and exposure conditions.Type: GrantFiled: May 24, 2013Date of Patent: April 30, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Wen-Zhan Zhou, Heng-Jen Lee, Chen-Ming Wang, Kai-Hsiung Cheng, Chih-Ming Ke, Ho-Yung David Hwang
-
Patent number: 10249519Abstract: A semiconductor wafer held by a holder within a chamber is irradiated and heated with halogen light emitted from multiple halogen lamps. A cylindrical louver made of opaque quartz and a light-shielding member of a ring shape having a cut-out portion are provided between the halogen lamps and the semiconductor wafer. When the semiconductor wafer is heated with the light emitted from the halogen lamps, a shadow region will appear in the semiconductor wafer as a result of the louver blocking off the emitted light. However, in the presence of the cut-out portion of the light-shielding member, the light emitted from the halogen lamps will reach the shadow region through the cut-out portion. This configuration allows the shadow region to be heated in the same manner as the other regions, and accordingly will help make uniform the in-plane temperature distribution of the semiconductor wafer during light irradiation heating.Type: GrantFiled: January 31, 2017Date of Patent: April 2, 2019Assignee: SCREEN Holdings Co., Ltd.Inventor: Makoto Abe
-
Patent number: 10236157Abstract: The disclosure relates to an electronic beam machining system. The system includes a vacuum chamber; an electron gun located in the vacuum chamber and used to emit electron beam; a holder located in the vacuum chamber and used to fix an object; a control computer; and a diffraction unit located in the vacuum chamber; the diffraction unit includes a two-dimensional nanomaterial; the electron beam transmits the two-dimensional nanomaterial to form a transmission electron beam and a plurality of diffraction electron beams; the transmission electron beam and the plurality of diffraction electron beams radiate the object to form a transmission spot and a plurality of diffraction spots.Type: GrantFiled: June 6, 2017Date of Patent: March 19, 2019Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.Inventors: Peng Liu, Wei Zhao, Xiao-Yang Lin, Duan-Liang Zhou, Chun-Hai Zhang, Kai-Li Jiang, Shou-Shan Fan
-
Patent number: 10215628Abstract: The present disclosure relates to an image calibrating method and device of a testing apparatus for thin film transistor (TFT) substrate. The method comprises following steps of: calculating an image offset value by using coordinate information of each pixel in a prescribed target image obtained by the testing apparatus for the thin film transistor substrate; and determining whether the offset value is smaller than a prescribed threshold value, in a case where the offset value is not smaller than the prescribed threshold value, adjusting the image by using the offset value and recalculating the offset value by using the coordinate information of each pixel in the adjusted image; in a case where the offset value is smaller than the prescribed threshold value, calibrating the image obtained by the testing apparatus for the thin film transistor substrate with the offset value as a calibrating value.Type: GrantFiled: June 30, 2014Date of Patent: February 26, 2019Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.Inventors: Zijin Lin, Haisheng Zhao, Xiaoguang Pei, Chaoyang Deng, Haitao Ma
-
Patent number: 10192710Abstract: An object of the present invention is to provide an ion milling apparatus capable of processing deposits attached to an ion gun and an ion milling method capable of processing deposits attached to an ion gun. The ion milling apparatus includes gas injection means for injecting a gas toward the ion gun, and the gas injection means included in the ion milling apparatus moves the deposits attached to the ion gun by injecting the gas toward the inside of the ion gun.Type: GrantFiled: May 25, 2015Date of Patent: January 29, 2019Assignee: Hitachi High-Technologies CorporationInventors: Yuki Tani, Hisayuki Takasu, Shuichi Takeuchi
-
Patent number: 10157722Abstract: An inspection device for inspecting a surface of an inspection object using a beam includes a beam generator capable of generating one of either charge particles or an electromagnetic wave as a beam, a primary optical system capable of guiding and irradiating the beam to the inspection object supported within a working chamber, a secondary optical system capable of including a first movable numerical aperture and a first detector which detects secondary charge particles generated from the inspection object, the secondary charge particles passing through the first movable numerical aperture, an image processing system capable of forming an image based on the secondary charge particles detected by the first detector; and a second detector arranged between the first movable numerical aperture and the first detector and which detects a location and shape at a cross over location of the secondary charge particles generated from the inspection object.Type: GrantFiled: June 28, 2016Date of Patent: December 18, 2018Assignee: EBARA CORPORATIONInventors: Masahiro Hatakeyama, Shoji Yoshikawa, Takeshi Murakami, Kenji Watanabe, Yoshihiko Naito, Yasushi Toma, Tsutomu Karimata, Takehide Hayashi, Kiwamu Tsukamoto, Tatsuya Kohama, Noboru Kobayashi
-
Patent number: 10157961Abstract: Provided are a method of manufacturing a magnetoresistive element and a manufacturing system which are capable of manufacturing a magnetoresistive element achieving further downscaling, i.e., further increase in the degree of integration of the magnetoresistive element while having high magnetic properties. The method includes: preparing a stacked film including one of the two magnetic layers, a layer to form the tunnel barrier layer, and the other of the two magnetic layers, on a substrate; forming multiple separated stacked films on the substrate by separating the stacked film into the multiple stacked films by etching; irradiating side portions of the multiple separated stacked films with ion beams in a pressure-reducible process chamber; and after the irradiation with the ion beams, forming oxide layers or nitride layers on surfaces of the multiple stacked films by introducing an oxidizing gas or a nitriding gas into the process chamber.Type: GrantFiled: May 15, 2017Date of Patent: December 18, 2018Assignee: CANON ANELVA CORPORATIONInventors: Marie Hayashi, Kiyotaka Sakamoto, Masayoshi Ikeda
-
Patent number: 10157728Abstract: A method of generating data relative to the writing of a pattern by electronic radiation initially includes the provision of a pattern to be formed which form the work pattern with a single external envelope. The work pattern is broken down into a set of elementary outlines, each including a single external envelope. A set of insolation conditions is defined to model each elementary outline. An irradiated simulation pattern is calculated from the sets of insolation conditions associated with the sets of elementary outlines. The simulation pattern is compared with the pattern to be formed. If the simulation pattern is not representative of the pattern to be formed, shift vectors are calculated. The shift vectors are representative of different intervals existing between the two patterns. The external envelope of the pattern to be formed is modified from displacement vectors determined from the shift vectors. A new iteration is carried out.Type: GrantFiled: April 17, 2014Date of Patent: December 18, 2018Assignee: ASELTA NANOGRAPHICSInventors: Charles Tiphine, Sébastien Bayle
-
Patent number: 10153126Abstract: A method and a system for imaging an object, the system may include electron optics that may be configured to scan a first area of the object with at least one electron beam; wherein the electron optics may include a first electrode; and light optics that may be configured to illuminate at least one target of (a) the first electrode and (b) the object, thereby causing an emission of electrons between the first electrode and the object.Type: GrantFiled: May 15, 2017Date of Patent: December 11, 2018Assignee: APPLIED MATERIALS ISRAEL LTD.Inventor: Alex Goldenshtein
-
Patent number: 10151637Abstract: A film forming apparatus includes: a support, a rotator, a gas supplier, and a radiation thermometer configured to measure a temperature of a surface of a substrate, wherein the radiation thermometer includes: a light source of an irradiation light to be irradiated to the surface of the substrate; a first light receiver configured to receive a reflected light from a first measurement region at a predetermined distance from the rotation center on the surface of the substrate; and a second light receiver configured to receive a heat radiation light from a second measurement region extending in a rotation direction of the substrate at the predetermined distance from the rotation center on the surface of the substrate.Type: GrantFiled: March 16, 2016Date of Patent: December 11, 2018Assignee: NuFlare Technology, Inc.Inventors: Yasushi Iyechika, Masato Akita
-
Patent number: 10149374Abstract: A target material receptacle includes a structure including a passageway that extends in a first direction, the passageway configured to receive target material that travels along a target material path; and a deflector system configured to receive target material from the passageway. The deflector system includes a plurality of deflector elements. Each deflector element is oriented at a first acute angle relative to a direction of travel of an instance of the target material that travels along the target material path, and each deflector element in the deflector system is separated from a nearest deflector element by a distance along a second direction that is different from the first direction.Type: GrantFiled: August 25, 2017Date of Patent: December 4, 2018Assignee: ASML Netherlands B.V.Inventors: Armin Bernhard Ridinger, Kyle John Scaffidi, Michael Arthur Perry, Jr.
-
Patent number: 10134492Abstract: The device has a target supply unit 4a for supplying a target 2a to a chamber 3a, a target monitor 5a for monitoring the target 2a present inside the chamber 3a, a laser light irradiator 6a for irradiating the target 2a present inside the chamber 3a, with laser light 8a, and a controller 7a. The target supply unit 4a emits the target 2a at a timing for emitting, that is controlled by the controller 7a, into a preset emission direction 3d inside the chamber 3a, and the controller 7a calculates an irradiation point 4d with the laser light 8a, calculates a timing for arriving of the target 2a at the irradiation point 4d, and makes the laser light irradiator 6a irradiate the target with the laser light, based on the irradiation point 4d and the timing for arriving.Type: GrantFiled: October 4, 2013Date of Patent: November 20, 2018Assignees: HAMAMATSU PHOTONICS K.K., TOYOTA JIDOSHA KABUSHIKI KAISHAInventors: Takashi Sekine, Toshiyuki Kawashima, Nakahiro Satoh, Yoneyoshi Kitagawa, Yoshitaka Mori, Katsuhiro Ishii, Ryohei Hanayama, Osamu Komeda, Yasuhiko Nishimura, Mitsutaka Kakeno
-
Patent number: 10120287Abstract: A beam modifier device is provided that includes scattering portions in which particles vertically impinging on an exposure surface of the beam modifier device are deflected from a vertical direction. A total permeability for the particles changes along a lateral direction parallel to the exposure surface.Type: GrantFiled: August 29, 2016Date of Patent: November 6, 2018Assignee: Infineon Technologies AGInventors: Roland Rupp, Rudolf Elpelt, Romain Esteve
-
Patent number: 10121637Abstract: An ion implantation apparatus and a method for ion implantation provides for implanting multiple substrates simultaneously. The different substrates are on corresponding platens within an ion implantation chamber or they may be positioned on separate substrate holders on a single oversized platen. The substrates and platen or platens, are translatable with respect to an ion beam, the individual substrates are rotatable and the position of the substrates relative to one another in the ion implantation chamber are movable. By rotating, translating and repositioning substrates during the ion implantation process, the entirety of all substrates are implanted by an ion beam even when the ion beam has a relatively small footprint and a relatively short scan length, compared to the diameters of the substrates undergoing implantation.Type: GrantFiled: March 13, 2013Date of Patent: November 6, 2018Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Shao-Hua Wang, Ming-Te Chen, Sheng-Wei Lee
-
Patent number: 10114193Abstract: A fly's eye mirror including first and second complementary M×N arrays, each including a plurality of faceted reflective surfaces arranged along both the first and the second axes. When assembled, the two complementary arrays are integrated together and mounted onto a common base plate. With the increased lineal length of each array along both axes, the faceted reflective surfaces of each array are in rotational or tilt alignment with a base plate along both axes.Type: GrantFiled: December 16, 2011Date of Patent: October 30, 2018Assignee: Nikon CorporationInventor: Alton H. Phillips
-
Patent number: 10109456Abstract: A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit changes a single electron source into a virtual multi-source array, a primary projection imaging system projects the array to form plural probe spots on the sample, and a condenser lens adjusts the currents of the plural probe spots. In the source-conversion unit, the image-forming means is on the upstream of the beamlet-limit means, and thereby generating less scattered electrons. The image-forming means not only forms the virtual multi-source array, but also compensates the off-axis aberrations of the plurality of probe spots.Type: GrantFiled: June 26, 2017Date of Patent: October 23, 2018Assignee: HERMES MICROVISION INC.Inventors: Weiming Ren, Shuai Li, Xuedong Liu, Zhongwei Chen
-
Patent number: 10101601Abstract: The present invention provides a broken line repair method of a TFT substrate. The method first finds out a broken line in the TFT substrate and a position of a broken point on the broken line. Then, positions of the passivation layer intersecting with the broken line at two ends of the broken point are processed, respectively to expose a metal layer, where the broken line is. Then, a temporary material layer is covered on the passivation layer and the metal layer which is exposed at the two ends of the broken point. Finally, a metal growing film is formed on the temporary material layer to connect the broken line of the two ends of the broken point. With the temporary material layer, the issue of bad repair result due to the remain of the color resist layer and the folding of the passivation layer can be solved.Type: GrantFiled: June 20, 2016Date of Patent: October 16, 2018Assignee: SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD.Inventors: He Zhao, Kecheng Xie
-
Patent number: 10095119Abstract: A radiation source suitable for providing radiation to a lithographic apparatus generates radiation from a plasma (12) generated from a fuel (31) within an enclosure comprising a gas. The plasma generates primary fuel debris collected as a fuel layer on a debris-receiving surface ((33a), (33b)). The debris-receiving surface is heated to a temperature to maintain the fuel layer as a liquid, and to provide a reduced or zero rate of formation gas bubbles within the liquid fuel layer in order to reduce contamination of optical surfaces (14) by secondary debris arising from gas bubble eruption from the liquid fuel layer. Additionally or alternatively, the radiation source may have a debris receiving surface positioned and/or oriented such that substantially all lines normal to the debris receiving surface do not intersect an optically active surface of the radiation source.Type: GrantFiled: October 23, 2013Date of Patent: October 9, 2018Assignee: ASML Netherlands B.V.Inventors: Hendrikus Gijsbertus Schimmel, Michel Riepen, Reinier Theodorus Martinus Jilisen, Dennis De Graaf
-
Patent number: 10095123Abstract: A control system for a positioning system, for positioning a driven object, e.g. in a lithographic apparatus, in N dimensions has M sensors, where M>N. A transformation module converts the M measurements by the sensors into a positional estimate in N dimensions taking into account compliance of the driven object.Type: GrantFiled: April 1, 2015Date of Patent: October 9, 2018Assignee: ASML Netherlands B.V.Inventors: Marinus Maria Johannes Van De Wal, Wilhelmus Henricus Theodorus Maria Aangenent, Jeroen Johannes Theodorus Hendrikus De Best, Jan Van Eijk
-
Patent number: 10072642Abstract: Hydro-carbon nanorings may be used, e.g., in power storage power transmission and transportation. Sufficiently cooled, an externally hydrogen doped carbon nanoring may be used to create a radial dipole containment field for electrons rotating in the nanoring. Such nanorings may transmit DC current with little or no loss. Similarly, an internally hydrogen doped carbon nanoring may be used to create a radial dipole containment field for positrons rotating in the nanoring. Virtually lossless transmission of AC current may be achieved by pairing such streams of electrons and positrons in their respective containment fields. Closed rotation of such streams may also be used to efficiently store large amounts of electrical energy. Finally, selectively accelerating and decelerating pairs of such paired electron and positron streams, which are moving at relativistic speeds, differential momentum may be created to cause physical movement.Type: GrantFiled: March 10, 2015Date of Patent: September 11, 2018Inventor: Laurence H. Cooke
-
Patent number: 10071538Abstract: An adhesive film for polarizing plates, a polarizing plate including the same, and an optical display including the same are provided. An adhesive film for polarizing plates is formed of an adhesive composition for polarizing plates, which includes a non-carboxylic acid (meth)acrylic copolymer, an isocyanate curing agent, and an epoxy curing agent, wherein the adhesive film has a modulus at 85° C. of about 0.1 MPa to about 0.8 MPa and a modulus ratio of about 3 or less, as calculated by the equation, Modulus ratio=G?(25° C.)/G?(85° C.), where G?(25° C.) is modulus (unit: MPa) at 25° C. of an adhesive film and G?(85° C.) is modulus (unit: MPa) at 85° C. of the adhesive film.Type: GrantFiled: July 28, 2016Date of Patent: September 11, 2018Assignee: Samsung SDI Co., Ltd.Inventors: Eun Hwan Jeong, Yi Eun Kim, Yoo Jin Suh, In Cheon Han
-
Patent number: 10073337Abstract: A pellicle includes a pellicle membrane, which includes a porous thin film. The porous thin film includes a plurality of nanowires, which are arranged across one another to form a net structure. A photomask assembly includes the pellicle and a photomask, wherein the pellicle is fixed to a surface of the photomask.Type: GrantFiled: May 16, 2016Date of Patent: September 11, 2018Assignee: SAMSUNG ELECTRONICS CO., LTD.Inventors: Yong-seok Jung, Hwan-chul Jeon, Byung-gook Kim, Jae-hyuck Choi, Sung-won Kwon
-
Patent number: 10068750Abstract: In one embodiment, a BAA apparatus 204 includes apertures 3, each of which being provided to blank charged particle beams 20. The apparatus 204 further includes first electrodes 6a, second electrodes 6b, first via plugs 5a, second via plugs 5c, drivers 2 and comparison circuitries 7 that are provided for each aperture 3, wherein a first electrode 6a and a second electrode 6b are opposite to each other, first and second via plug 5a and 5c are electrically connected to the first electrode 6a, a driver 2 supplies a driving signal to the first electrode 6a via the first via plug 5a, and a comparison circuitry 7 is provided to correspond to the first electrode 6a and compares the driving signal and a signal obtained from the second via 5c plug to output a comparison result signal indicating a result of the comparison.Type: GrantFiled: September 8, 2017Date of Patent: September 4, 2018Assignee: NuFlare Technology, Inc.Inventor: Masayoshi Ono
-
Patent number: 10068038Abstract: A semiconductor process simulation method includes classifying a semiconductor process simulation into a plurality of blocks based on an annealing simulation, performing a shape simulation corresponding to a block selected from the plurality of blocks, and performing at least two ion implantation simulations among a plurality of ion implantation simulations corresponding to the selected block in parallel, based on result data of the shape simulation corresponding to the selected block.Type: GrantFiled: September 27, 2016Date of Patent: September 4, 2018Assignee: Samsung Electronics Co., Ltd.Inventors: Sung-Hwan Jang, Sungchul Kim, Jiseong Doh, Wonsok Lee
-
Patent number: 10062546Abstract: To realize a focused-ion-beam machining apparatus capable of machining a thin sample with a wide area and a uniform film thickness and a needle-like sample with a sharp tip, in a focused-ion-beam machining apparatus including: an ion source (1); an electronic lens (3) focusing an ion beam extracted from the ion source (1) and irradiating the ion beam to a sample (5); and a sample holder (13) holding the sample (5), the sample holder (13) is provided with a shield electrode (7) arranged in a manner such as to cover the sample (5), and the sample (5) and the shield electrode (7) are insulated from each other in a manner such that voltages can be applied to them separately from each other.Type: GrantFiled: May 14, 2013Date of Patent: August 28, 2018Assignee: Hitachi, Ltd.Inventors: Noriyuki Lee, Hiroyuki Yamamoto, Akira Sugawara
-
Patent number: 10050067Abstract: A laser crystallization apparatus includes a laser generating module configured to generate a laser beam, an optical module configured to guide the laser beam, an annealing chamber comprising a stage on which a target substrate comprising an amorphous thin film formed therein is disposed, the stage being movable along an X-axis direction and a Y-axis direction, and a tilt refractive lens configured to transform the laser beam having a cross-sectional area of a rectangle shape into a tilted laser beam having a cross-sectional area of a non-rectangular parallelogram shape and to irradiate the tilted laser beam perpendicular to the stage.Type: GrantFiled: February 24, 2017Date of Patent: August 14, 2018Assignee: Samsung Display Co., Ltd.Inventors: Jong-Oh Seo, Dong-Min Lee, Mee-Jae Kang, Sang-Ho Jeon
-
Patent number: 10031413Abstract: A method for mask data preparation (MDP) is disclosed, in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots. A method for optical proximity correction (OPC) or MDP is also disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern. A method for OPC is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.Type: GrantFiled: July 25, 2016Date of Patent: July 24, 2018Assignee: D2S, Inc.Inventors: Akira Fujimura, Anatoly Aadamov, Eldar Khaliullin, Ingo Bork
-
Patent number: 10032619Abstract: A high brightness laser-sustained broadband light source includes a gas containment structure and a pump laser configured to generate a pump beam including illumination of a wavelength at least proximate to a weak absorption line of a neutral gas contained in the gas containment structure. The broadband light source includes one or more anamorphic illumination optics configured to focus the pump beam into an approximately elliptical beam waist positioned in or proximate to the center of the gas containment structure. The broadband light source includes one or more first collection optics configured to collect broadband radiation emitted by the plasma in a direction substantially aligned with a longer axis of the elliptical beam waist.Type: GrantFiled: December 14, 2017Date of Patent: July 24, 2018Assignee: KLA-Tencor CorporationInventors: Yung-Ho Alex Chuang, Xiaoxu Lu, Justin Liou, John Fielden
-
Patent number: 10028365Abstract: A chamber device may include a chamber, and a target generation device assembled into the chamber and configured to supply a target material into the chamber, the target generation device including a tank configured to store the target material, a temperature variable device configured to vary temperature of the target material in the tank, and a nozzle section in which a nozzle hole configured to output the target material in a liquid form is formed, and the chamber device may further include a gas nozzle having an inlet port facing the nozzle section and configured to introduce gas into the chamber, a gas supply source configured to supply gas containing hydrogen to the gas nozzle to supply the gas containing the hydrogen to at least periphery of the nozzle section, and a moisture remover configured to remove moisture at least in the periphery of the nozzle section in the chamber.Type: GrantFiled: September 7, 2017Date of Patent: July 17, 2018Assignee: Gigaphoton Inc.Inventors: Takanobu Ishihara, Tsukasa Hori, Takashi Saito, Yutaka Shiraishi
-
Patent number: 10021773Abstract: The present disclosure is directed to laser produced plasma light sources having a target material, such as Xenon, that is coated on the outer surface of a drum. Embodiments include bearing systems for rotating the drum that have structures for reducing leakage of contaminant material and/or bearing gas into the LPP chamber. Injection systems are disclosed for coating and replenishing target material on the drum. Wiper systems are disclosed for preparing the target material surface on the drum, e.g. smoothing the target material surface. Systems for cooling and maintaining the temperature of the drum and a housing overlying the drum are also disclosed.Type: GrantFiled: September 14, 2016Date of Patent: July 10, 2018Assignee: KLA-Tencor CorporationInventors: Alexey Kuritsyn, Brian Ahr, Rudy F. Garcia, Frank Chilese, Oleg Khodykin
-
Patent number: 9996014Abstract: An optical imaging device, including an imaging unit and a measuring device. The imaging unit includes a first optical element group having at least one first optical element, which contributes to the imaging. The measuring device determines an imaging error, which occurs during the imaging, using a capturing signal. The measuring device includes a measurement light source, a second optical element group and a capturing unit. The measurement light source emits at least one measurement light bundle, The second optical element group includes an optical reference element and a second optical element, which guide the measurement light bundle onto the capturing unit, to generate the capturing signal. Each second optical element has a defined spatial relationship with a respective one of the first optical elements, The second optical elements differ from the first optical elements. The measuring device determines the imaging error with the capturing signal.Type: GrantFiled: January 11, 2016Date of Patent: June 12, 2018Assignee: Carl Zeiss SMT GmbHInventors: Rolf Freimann, Ulrich Wegmann
-
Patent number: 9989860Abstract: A pattern generating method includes, generating a first bit map from inputted pattern data. Characteristics of a plurality of beams for exposing a pattern on a substrate are analyzed, each of the plurality of beams being designated to correspond to one of a plurality of grids in the first bit map. The pattern data is corrected such that at least one of the plurality of beams is designated to expose at least a portion of the pattern on the substrate. A second bit map is generated from the corrected pattern data. The substrate is patterned using the plurality of beams according to the designation of the second bit map.Type: GrantFiled: September 2, 2016Date of Patent: June 5, 2018Assignee: SAMSUNG ELECTRONICS CO., LTD.Inventors: Sang-Hee Lee, Hyun-Seok Uhm, Il-Yong Jang
-
Patent number: 9984853Abstract: A method for generating writing data to be input to a writing apparatus, which writes a figure pattern on a target object by using a charged particle beam, includes generating the writing data, based on a data format that sequentially defines figure information on a figure pattern, and dose information which is defined before or after the figure information and indicates one of a dose and a dose modulation rate for modulating a dose, for a position of each of corner points of the figure pattern.Type: GrantFiled: November 18, 2015Date of Patent: May 29, 2018Assignee: NuFlare Technology, Inc.Inventors: Shigehiro Hara, Kenichi Yasui, Yasuo Kato
-
Patent number: 9984856Abstract: An ion implantation apparatus performs a plurality of ion implantation processes having different implantation conditions to a same wafer successively. The plurality of ion implantation processes are: (a) provided so that twist angles of the wafer differ from each other; (b) configured so that an ion beam is irradiated to a wafer surface to be processed that moves in a reciprocating movement direction; and (c) provided so that a target value of a beam current density distribution of the ion beam is variable in accordance with a position of the wafer in the reciprocating movement direction. Before performing the plurality of ion implantation processes to the same wafer successively, a control device executes a setup process in which a plurality of scanning parameters corresponding to the respective implantation conditions of the plurality of ion implantation processes are determined collectively.Type: GrantFiled: September 29, 2016Date of Patent: May 29, 2018Assignee: SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD.Inventors: Shiro Ninomiya, Yasuharu Okamoto, Akihiro Ochi, Yusuke Ueno