Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 9430597
    Abstract: This method for estimating patterns (M?PF,D?PF) to be printed by means of electron-beam lithography, comprises the following steps: printing (100), in a resin, a set of calibration patterns (MCF, DCF); measuring (120) characteristic dimensions (CD) of this set; supplying an estimation (140) of the point spread function (PSF) based on the characteristic dimensions (CD) measured; estimating (160) the patterns (M?PF,D?PF) to be printed by convoluting the point spread function (PSF) supplied with an initial value of the patterns (MPF,DPF). Furthermore, each calibration pattern printed includes a central zone exposed to the electron beam and a plurality of surrounding concentric zones with rotational symmetry. The characteristic dimensions measured are characteristic dimensions (CD) of the central zones of the patterns.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: August 30, 2016
    Assignees: Commissariat à l'énergie atomique et aux énergies alternatives, ASELTA NANOGRAPHICS
    Inventor: Jerome Belledent
  • Patent number: 9389180
    Abstract: An apparatus for use with extreme ultraviolet (EUV) light comprising A) a duct having a first end opening, a second end opening and an intermediate opening intermediate the first end opening the second end opening, B) an optical component disposed to receive EUV light from the second end opening or to send light through the second end opening, and C) a source of low pressure gas at a first pressure to flow through the duct, the gas having a high transmission of EUV light, fluidly coupled to the intermediate opening. In addition to or rather than gas flow the apparatus may have A) a low pressure gas with a heat control unit thermally coupled to at least one of the duct and the optical component and/or B) a voltage device to generate voltage between a first portion and a second portion of the duet with a grounded insulative portion therebetween.
    Type: Grant
    Filed: February 10, 2014
    Date of Patent: July 12, 2016
    Assignees: KLA-Tencor Corporation, Sandia Corporation
    Inventors: Francis C. Chilese, John R. Torczynski, Rudy Garcia, Leonard E. Klebanoff, Gildardo R. Delgado, Daniel J. Rader, Anthony S. Geller, Michail A. Gallis
  • Patent number: 9377702
    Abstract: A method of performing patterning of a substrate includes: obtaining, based on first alignment measurement with respect to first patterning, a first compensation value for second alignment measurement, with respect to the first patterning, in which number of alignment marks to be measured is smaller than number of alignment marks to be measured in the first alignment measurement; performing the second alignment measurement with respect to second patterning different from the first patterning; generating, based on a condition with respect to the second patterning and the first compensation value, a second compensation value for the second alignment measurement; and performing the second patterning of a substrate based on the second alignment measurement and the second compensation value.
    Type: Grant
    Filed: January 21, 2015
    Date of Patent: June 28, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Akihiko Kawamura
  • Patent number: 9377414
    Abstract: Inspection of EUV patterned masks, blank masks, and patterned wafers generated by EUV patterned masks requires high magnification and a large field of view at the image plane. An EUV inspection system can include a light source directed to an inspected surface, a detector for detecting light deflected from the inspected surface, and an optic configuration for directing the light from the inspected surface to the detector. In particular, the detector can include a plurality of sensor modules. Additionally, the optic configuration can include a plurality of mirrors that provide magnification of at least 100× within an optical path less than 5 meters long. In one embodiment, the optical path is approximately 2-3 meters long.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: June 28, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Yung-Ho Chuang, Richard W. Solarz, David R. Shafer, Bin-Ming Benjamin Tsai, David L. Brown
  • Patent number: 9377693
    Abstract: The present disclosure provides an extreme ultraviolet (EUV) radiation source module. The EUV radiation source module includes a collector designed to collect and reflect EUV light; a solid cover integrated with the collector and configured to have a supply gap between the collector and the solid cover; and a gas pipeline integrated with the collector. The supply gap provides a path for gas flow to the radiation source at edge of the collector. The gas pipeline includes an inward entrance and an outward entrance.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: June 28, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Ching Huang, Tsung-Yu Chen, Chia-Hao Hsu, Shinn-Sheng Yu, Chia-Chen Chen
  • Patent number: 9373482
    Abstract: An exposure pattern is computed which is used for exposing a desired pattern on a target in a charged-particle multi-beam processing apparatus so as to match a reference writing tool, possible of different type: The desired pattern is provided as a graphical representation suitable for the reference tool, such as a raster graphics, on the image area on the target. A convolution kernel is used which describes a mapping from an element of the graphical representation to a group of pixels which is centered around a nominal position of said element. A nominal exposure pattern is calculated by convolution of the graphical representation with the convolution kernel, said nominal exposure pattern being suitable to create a nominal dose distribution on the target when exposed with the processing apparatus.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: June 21, 2016
    Assignee: IMS Nanofabrication AG
    Inventor: Elmar Platzgummer
  • Patent number: 9349922
    Abstract: Embodiments of the disclosure provide a mask, a mask group, a manufacturing method of pixels and a pixel structure. The mask includes a shielding region and an opening region which are alternately arranged. A width of the opening region is twice of a width of one sub pixel, and a width of the shielding region between two adjacent opening regions is four times of the width of one sub pixel.
    Type: Grant
    Filed: December 29, 2014
    Date of Patent: May 24, 2016
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., ORDOS YUANSHENG OPTOELECTRONICS CO., LTD.
    Inventors: Haidong Wu, Tae Gyu Kim, Qun Ma, Juanjuan Bai
  • Patent number: 9341953
    Abstract: A microlithographic illumination system can include a light distribution device that can generate a two-dimensional intensity distribution in a first illumination plane. A first raster array of optical raster elements can generates a raster array of secondary light sources. A device with an additional optical effect can be disposed spatially adjacent to the two raster arrays. The device can be configured as an illumination angle variation device. The device can influence the intensity and/or the phase and/or the beam direction of the illumination light. The influence can be such that an intensity contribution of raster elements to the total illumination intensity can vary across the illumination field. This can enable the illumination intensity to be influenced across the illumination field in a defined manner with respect to the total illumination intensity and/or with respect to the intensity contributions from different directions of illumination.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: May 17, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Markus Deguenther, Michael Layh, Michael Gerhard, Bruno Thome, Wolfgang Singer
  • Patent number: 9343268
    Abstract: In accordance with one aspect of this invention, a multi charged particle beam writing apparatus includes an aperture member, in which a plurality of openings are formed, configured to form multi-beams by making portions of the charged particle beam pass through the plurality of openings; a plurality of blankers configured to perform blanking-deflect regarding beams corresponding to the multi-beams; a writing processing control unit configured to control writing processing with a plurality of beams having passed through different openings among the plurality of openings being irradiated on the target object at a predetermined control grid interval; and a dose controlling unit configured to variably control a dose of a beam associated with deviation according to a deviation amount when an interval between the plurality of beams irradiated is deviated from the control grid interval.
    Type: Grant
    Filed: October 30, 2014
    Date of Patent: May 17, 2016
    Assignee: Nuflare Technology, Inc.
    Inventors: Ryoichi Yoshikawa, Munehiro Ogasawara
  • Patent number: 9343323
    Abstract: In one embodiment, an aperture member producing method includes applying a charged particle beam to a plurality of chip areas on a first substrate while changing a writing condition to write a first pattern corresponding to an aperture opening, processing the first substrate based on the written first pattern to form a second pattern, cutting out a chip area provided with the second pattern having desired accuracy from the first substrate to produce a template, allowing the template to come into contact with a resist overlying a front surface of a second substrate, separating the template from the hardened resist to pattern the resist with a transfer pattern, processing the second substrate using the transfer pattern as a mask to form a first recess, and etching a rear surface of the second substrate to form a second recess communicating with the first recess.
    Type: Grant
    Filed: June 5, 2015
    Date of Patent: May 17, 2016
    Assignee: NuFlare Technology, Inc.
    Inventor: Takashi Kamikubo
  • Patent number: 9336988
    Abstract: A multi charged particle beam writing apparatus includes a charge amount distribution calculation unit to calculate a charge amount distribution whose charge amount charged by perpendicular incidence of a representative beam of multiple beams in a writing region of a target object, a position correction unit to calculate, using the charge amount distribution, a corrected position of an irradiation position of each beam where a positional deviation amount including a positional deviation amount of an irradiation position due to a charge amount, dependent on the irradiation position of each beam of the multiple beams has been corrected, and a writing unit to write a pattern on the target object by controlling an irradiation amount of each beam such that a formation position of an irradiation pattern of each beam of the multiple beams is to be a corresponding corrected position.
    Type: Grant
    Filed: December 31, 2014
    Date of Patent: May 10, 2016
    Assignee: NuFlare Technology, Inc.
    Inventor: Noriaki Nakayamada
  • Patent number: 9323163
    Abstract: A cylindrical reticle system is provided for performing a unidirectional scan-exposure. The cylindrical reticle system includes a base and a center shaft fixed a one side of the base. The cylindrical reticle system also includes a first bearing fixed at the end of the center shaft near to the base and a second bearing fixed at the other end of the center shaft far from the base. Further, the cylindrical reticle system includes a cylindrical reticle having an imaging region and two non-imaging regions at both end of the imaging region.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: April 26, 2016
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Qiang Wu, Yiming Gu
  • Patent number: 9315886
    Abstract: A method for desensitizing an aluminum alloy is presented. A desired location on the surface of an aluminum alloy sample is exposed to a controlled pulsed electron beam. The pulsed electron beam heats a shallow layer of the metal alloy having a desired depth at the desired location on the surface of the sample to a temperature between a solvus temperature and an annealing temperature of the metal alloy to controllably reduce a degree of sensitization of the metal alloy sample at the desired location, an extent of a reduction in the degree of sensitization being controllable by varying at least one of a voltage, a current density, a pulse duration, a pulse frequency and a number of pulses of the electron beam.
    Type: Grant
    Filed: February 5, 2015
    Date of Patent: April 19, 2016
    Assignee: The United States of America, as represented by the Secretary of the Navy
    Inventors: John D. Sethian, Matthew C. Myers, Mathew Wolford, Frank Hegeler, Ronald L. Holtz, Derek Horton, Alexis C. Lewis, Kathryn J. Wahl
  • Patent number: 9316771
    Abstract: An etching process includes: forming a metal film on a substrate having a pattern formation region; forming a mask having a predetermined pattern on the metal film in the pattern formation region, and forming a resist film in part or all of a periphery of the pattern formation region; and dry-etching the metal film in the pattern formation region.
    Type: Grant
    Filed: August 11, 2014
    Date of Patent: April 19, 2016
    Assignee: Sony Corporation
    Inventors: Masahiro Kaida, Yuu Kawaguchi
  • Patent number: 9307624
    Abstract: A discharge produced plasma radiation source includes a laser beam pulse generator configured to provide a laser beam pulse to trigger a pinch in a plasma of the discharge produced plasma radiation source. The laser beam pulse generator is arranged to provide a laser beam pulse having an energy greater than an optimum laser beam pulse energy that corresponds to a maximum output of a given wavelength of radiation for a given discharge energy.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: April 5, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Denis Alexandrovich Glushkov, Vadim Yevgenyevich Banine, Vladimir Vitalevich Ivanov, Konstantin Nikolaevich Koshelev, Givi Georgievich Zukakishvili, Vladimir Mihailovitch Krivtsun, Yurii Victorovitch Sidelnikov, Kurt Gielissen, Oleg Yakushev
  • Patent number: 9305742
    Abstract: A method for packetizing a beam-charged particle, in which the particles pass through an electric field in a device is provided. The device includes an annular shaped central electrode which, in the direction of the beam, is arranged between a first outer electrode and a second outer electrode. A time-dependent electric voltage signal is applied to the central electrode, the temporal course thereof being selected such that particles inside the device undergo a position-dependent speed modification. The course of the speed modification is approximately sawtooth-shaped in the direction of the beam. An associated device is also provided.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: April 5, 2016
    Assignee: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Peter Simon Aptaker, Paul Beasley, Oliver Heid
  • Patent number: 9304402
    Abstract: The present invention relates to a method of controlling a light exposure apparatus including an exposure beam generator equipped with a prism or a bend mirror and a vacuum chamber through which light generated in the exposure beam generator passes. The method includes, generating an exposure beam; measuring a deviation of a center of the exposure beam from a reference line in the vacuum chamber; and adjusting the prism or the bend mirror in the exposure beam generator to adjust the center of the exposure beam when the center of the exposure beam is misaligned with the reference line.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: April 5, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventors: Tsunemitsu Torigoe, Hong Suk Yoo, Chang Hoon Kim
  • Patent number: 9293331
    Abstract: In accordance with some embodiments, an assembly of an ion implanter system is provided. The assembly includes a control unit, a wafer holder and a detecting device. The wafer holder and the detecting device are respectively positioned at two sides of the control unit. The control unit is configured to drive the wafer holder and the detecting device to rotate about at least one rotation axis.
    Type: Grant
    Filed: August 29, 2013
    Date of Patent: March 22, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yuan-Fu Yang, Ping-Fang Chen
  • Patent number: 9280058
    Abstract: A projection objective of a microlithographic projection exposure apparatus contains a plurality of optical elements arranged in N>?2 successive sections A1 to AN of the projection objective which are separated from one another by pupil planes or intermediate image planes. According to the invention, in order to correct a wavefront deformation, at least two optical elements each have an optically active surface locally reprocessed aspherically. A first optical element is in this case arranged in one section Aj, j=1 . . . N and a second optical element is arranged in another section Ak, k=1 . . . N, the magnitude difference |k?j| being an odd number.
    Type: Grant
    Filed: October 6, 2014
    Date of Patent: March 8, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Norbert Wabra, Robert Eder
  • Patent number: 9281161
    Abstract: An electron beam writing apparatus includes: a first aperture plate that shapes an electron beam emitted from an electron gun assembly; a second aperture plate onto which an electron beam of an aperture plate image passing through the first aperture plate is projected; and a first shaping deflector and a second shaping deflector which are provided between the first aperture plate and the second aperture plate, respectively, deflect an electron beam, control an irradiation position of the aperture plate image on the second aperture plate, and determine a shot shape and a shot size. The first shaping deflector deflects an electron beam such that the aperture plate image is positioned at a determined position in accordance with a shot shape and a shot size. The second shaping deflector deflects an electron beam deflected by the first shaping deflector and controls formation of a desirable shot size.
    Type: Grant
    Filed: February 5, 2015
    Date of Patent: March 8, 2016
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideki Matsui
  • Patent number: 9269531
    Abstract: In a direct electron detector, backscattering of electrons into the detector volume from below the sensor is prevented. In some embodiments, an empty space is maintained below the sensor. In other embodiments, a structure below the sensor includes geometry, such as multiple high aspects ratio channels, either extending to or from the sensor to trap electrons, or a structure of angled surfaces to deflect the electrons that pass through the sensor.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: February 23, 2016
    Assignee: FEI Company
    Inventors: Michael Alwin William Stekelenburg, Gerrit Cornelis van Hoften, Richard Henderson, Gregory James McMullan, Abdul Raffey Faruqi, Renato Andrea Danilo Turchetta, Nicola Carlo Guerrini, Joeri Lof, Frank Jeroen Pieter Schuurmans
  • Patent number: 9269543
    Abstract: An exposure pattern is computed which is used for exposing a desired pattern on a target by means of a blanking aperture array in a particle-optical lithography apparatus which has a finite number of defects, said desired pattern being composed of a multitude of image elements within an image area on the target: A list of defective blanking apertures is provided, comprising information about the type of defect of the defective blanking apertures; from the desired pattern a nominal exposure pattern is calculated as a raster graphics over the image elements disregarding the defective blanking apertures; the “compromised” image elements (1105) are determined which are exposed by aperture images of defective blanking apertures; for each compromised element (1105), a set of neighboring image elements is selected as “correction elements” (1104); for each compromised element, corrected dose values are calculated for the correction elements, said corrected dose values minimizing an error functional of the deviation o
    Type: Grant
    Filed: February 25, 2015
    Date of Patent: February 23, 2016
    Assignee: IMS NANOFABRICATION AG
    Inventors: Rafael Reiter, Elmar Platzgummer, Klaus Schiessel
  • Patent number: 9269540
    Abstract: An ion implantation apparatus according to an embodiment includes an ion implantation unit, a position detection unit, a charge supply unit, a current value detection unit, and a determination unit. The ion implantation unit scans the surface of a substrate with an ion beam containing positively charged ions and implants the ions into the substrate. The position detection unit detects the scan position of the ion beam on the substrate. The charge supply unit generates a plasma, emits electrons contained in the plasma, and supplies the electrons to the substrate. The current value detection unit detects a current value that changes in accordance with the amount of electrons emitted by the charge supply unit. The determination unit determines the charge build-up state of the substrate based on a change in the current value, the change being accompanied by a change in the scan position.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: February 23, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masayuki Jinguuji, Kei Hattori, Keiji Fujita, Takahito Nagamatsu
  • Patent number: 9263235
    Abstract: A method and apparatus for aligning a laser beam coincident with a charged particle beam. The invention described provides a method for aligning the laser beam through the center of an objective lens and ultimately targeting the eucentric point of a multi-beam system. The apparatus takes advantage of components of the laser beam alignment system being positioned within and outside of the vacuum chamber of the charged particle system.
    Type: Grant
    Filed: June 12, 2014
    Date of Patent: February 16, 2016
    Assignee: FEI Company
    Inventors: Marcus Straw, Mark Emerson
  • Patent number: 9257261
    Abstract: A method for rapid switching between operating modes with differing beam currents in a charged particle system is disclosed. Many FIB milling applications require precise positioning of a milled pattern within a region of interest (RoI). This may be accomplished by using fiducial marks near the RoI, wherein the FIB is periodically deflected to image these marks during FIB milling. Any drift of the beam relative to the RoI can then be measured and compensated for, enabling more precise positioning of the FIB milling beam. It is often advantageous to use a lower current FIB for imaging since this may enable higher spatial resolution in the image of the marks. For faster FIB milling, a larger beam current is desired. Thus, for optimization of the FIB milling process, a method for rapidly switching between high and low current operating modes is desirable.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: February 9, 2016
    Assignee: FEI Company
    Inventor: Tom Miller
  • Patent number: 9255339
    Abstract: A charge transfer mechanism is used to locally deposit or remove material for a small structure. A local electrochemical cell is created without having to immerse the entire work piece in a bath. The charge transfer mechanism can be used together with a charged particle beam or laser system to modify small structures, such as integrated circuits or microelectromechanical system. The charge transfer process can be performed in air or, in some embodiments, in a vacuum chamber.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: February 9, 2016
    Assignee: FEI Company
    Inventors: Aurelien Philippe Jean Maclou Botman, Milos Toth, Steven Randolph, David H. Narum
  • Patent number: 9245715
    Abstract: The present invention provides a drawing apparatus for performing drawing on a substrate with a plurality of charged particle beams, comprising a blanker array including first and second groups, each of which includes at least one blanker, a deflector configured to deflect the plurality of charged particle beams to scan the plurality of charged particle beams on the substrate, and a controller configured to respectively supply first and second control signals to the first and second groups at first and second timings, wherein the first and second groups are respectively arranged at such relative positions that a positional difference between respective drawing regions thereof, due to a difference between the first and second timings, in a scanning direction of the deflector is compensated for.
    Type: Grant
    Filed: October 15, 2013
    Date of Patent: January 26, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Tomoyuki Morita, Masato Muraki
  • Patent number: 9239526
    Abstract: According to one embodiment, an exposure apparatus includes a light blocking unit that blocks an exposure light reflected on a reflective mask at a part other than an aperture; a detection unit that measures a light intensity of the exposure light passed through the light blocking unit; and a calculation unit that calculates, based on the light intensity, a transfer characteristic when a pattern on the reflective mask is transferred to a substrate. In the light blocking unit, a position on an aperture plane and a position in an optical axis direction of the exposure light are adjusted. The calculation unit calculates the transfer characteristic based on the position in the optical axis direction in which the light intensity is maximized.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: January 19, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hidenori Sato, Kazuhiro Segawa, Nobuhiro Komine
  • Patent number: 9218370
    Abstract: A method and system for processing a data load. A request is received for a data load to load data into a database in accordance with a data template that includes data to be loaded into the database. The template includes columns and rows. The request specifies a request type characterized by at least one column that collectively includes unique data for each row. The columns include N sets of columns (N?1) in addition to the at least one column. The data load is split into multiple loads of data. The multiple loads include one load and N additional loads. The one load is associated with the at least one column. The N additional loads are respectively associated with the N sets of columns and are configured to generate or modify one or more rows in each table of N respective additional tables in the database.
    Type: Grant
    Filed: April 19, 2013
    Date of Patent: December 22, 2015
    Assignee: International Business Machines Corporation
    Inventors: Danny Yen-Fu Chen, Craig A. Cormier, Fabian F. Morgan, Badri Sridhar, Wesley Stevens
  • Patent number: 9206504
    Abstract: Samples to be imaged in a Transmission Electron Microscope must be thinned to form a lamella with a thickness of, for example, 20 nm. This is commonly done by sputtering with ions in a charged particle apparatus equipped with a Scanning Electron Microscope (SEM) column, a Focused Ion Beam (FIB) column, and one or more Gas Injection Systems (GISses). A problem that occurs is that a large part of the lamella becomes amorphous due to bombardment by ions, and that ions get implanted in the sample. The invention provides a solution by applying a voltage difference between the capillary of the GIS and the sample, and directing a beam of ions or electrons to the jet of gas. The beam ionizes gas that is accelerated to the sample, where (when using a low voltage between sample and GIS) low energy milling occurs, and thus little sample thickness becomes amorphous.
    Type: Grant
    Filed: April 2, 2014
    Date of Patent: December 8, 2015
    Assignee: FEI Company
    Inventors: Johannes Jacobus Lambertus Mulders, Remco Theodorus Johannes Petrus Geurts, Petrus Hubertus Franciscus Trompenaars, Eric Gerardus Theodoor Bosch
  • Patent number: 9202892
    Abstract: A manufacturing method of a TFT array substrate is provided. The method includes the following steps: respectively forming a metal oxide semiconductor layer, an etching barrier layer, a source electrode, a data line, a drain electrode, a pixel electrode, a gate insulating layer, a contact hole, a gate electrode and a gate scanning line on a substrate by patterning processes, wherein the metal oxide semiconductor layer and the etching barrier layer are formed by a same patterning process, and the source electrode, the drain electrode, the pixel electrode and the data line are formed by another same patterning process.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: December 1, 2015
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Xiang Liu, Jianshe Xue
  • Patent number: 9202673
    Abstract: A multi charged particle beam writing method includes performing ON/OFF switching of a beam by an individual blanking system for the beam concerned, for each beam in multi-beams of charged particle beam, with respect to each time irradiation of irradiation of a plurality of times, by using a plurality of individual blanking systems that respectively perform beam ON/OFF control of a corresponding beam in the multi-beams, and performing blanking control, in addition to the performing ON/OFF switching of the beam for the each beam by the individual blanking system, with respect to the each time irradiation of the irradiation of the plurality of times, so that the beam is in an ON state during an irradiation time corresponding to irradiation concerned, by using a common blanking system that collectively performs beam ON/OFF control for a whole of the multi-beams.
    Type: Grant
    Filed: October 30, 2013
    Date of Patent: December 1, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Hiroshi Matsumoto, Tomohiro Iijima, Munehiro Ogasawara, Hideo Inoue, Ryoichi Yoshikawa
  • Patent number: 9188853
    Abstract: In a charged particle beam drawing apparatus, if at least one of calculating portions is free and at least one memory includes a free portion, a report that a next process can be additionally started by using at least one free calculating portion and the free portion of the memory, is transferred from a daemon to a writing control unit, and the next process is additionally started by the daemon on the basis of a start request transferred from the writing control unit to the daemon. If there is a possibility of a shortage of the calculating portions and the memory, and if a start request for starting a next process is transferred from the writing control unit to the daemon, the start request for starting the next process is refused by the daemon.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: November 17, 2015
    Assignee: NuFlare Technology, Inc.
    Inventor: Jun Yashima
  • Patent number: 9190240
    Abstract: A charged particle microscope apparatus includes a radiation optical system that radiates a focused charged particle beam to an upper side of a sample provided with a pattern and scans the sample; a detection optical system that detects charged particles generated from the sample to which the charged particle beam has been radiated by the radiation optical system; and a processing unit that processes the charged particles detected by the detection optical system to obtain a charged particle image of the sample, estimates diffusion of the charged particles at any depth of the pattern of the sample, on the basis of information on a depth or a material of the pattern of the sample or radiation energy of the charged particle beam in the radiation optical system; corrects the obtained charged particle image using the estimated diffusion of the charged particles; and processes the corrected charged particle image.
    Type: Grant
    Filed: April 15, 2014
    Date of Patent: November 17, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Maki Tanaka
  • Patent number: 9184026
    Abstract: The invention relates to a method for performing charged particle beam proximity effect correction, comprising the steps of: receiving a digital layout pattern to be patterned onto a target using one or more charged particle beams; selecting a base proximity function comprising a sum of an alpha and a beta proximity function, wherein said alpha proximity function models a short range proximity effect and said beta proximity function models a long range proximity effect, wherein a constant ? is defined as a ratio between the beta proximity function and the alpha proximity function in said sum, with 0<?<1; determining a modified proximity function which corresponds to said base proximity effect function wherein the alpha proximity function has been replaced by a Dirac delta function, and using an electronic processor, performing a deconvolution of the digital layout pattern with the modified proximity function to produce a corrected layout pattern.
    Type: Grant
    Filed: February 19, 2015
    Date of Patent: November 10, 2015
    Assignee: MAPPER LITHOGRAPHY IP B.V.
    Inventor: Marco Jan-Jaco Wieland
  • Patent number: 9164044
    Abstract: An inspection method of pattern writing data includes creating an area map of a figure pattern written on a target object for each modulation rate for modulating a dose by using modulation rate data to modulate the dose in a case that a plurality of figure patterns is written on the target object by using a charged particle beam, and layout data in which the plurality of figure patterns is defined; converting the layout data into pattern writing data to be input into a lithography apparatus; and inspecting an amount of electric charge for each predetermined region by using the area map when a pattern is written on the target object by using the pattern writing data.
    Type: Grant
    Filed: March 25, 2013
    Date of Patent: October 20, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Shigehiro Hara, Yasuo Kato, Akihito Anpo, Noriaki Nakayamada
  • Patent number: 9165106
    Abstract: The present disclosure relates to a method and apparatus to create a physical layout for electron-beam lithography, comprising defining a layout grid for a physical design, the layout grid further comprising vertical grid lines which coincide with stitching lines resulting from partitioning the physical design into a plurality of subfields. The physical design is assembled in accordance with design restrictions regarding interaction between design shapes and the layout grid. In some embodiments, the design restrictions are realized though layout restrictions. In some embodiments, the design restrictions are realized by shifting standard cells to minimize design shape interaction with the layout grid in a post-layout step. In some embodiments, the design restrictions are realized by exchanging positions between a plurality of standard cells for an exchange permutation which minimizes the number of interactions in a post-layout step.
    Type: Grant
    Filed: February 2, 2015
    Date of Patent: October 20, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung-Chun Wang, Shao-Yun Fang, Tzu-Chin Lin, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 9147553
    Abstract: A method for acquiring a settling time includes forming, using a deflector, a reference pattern so that a deflection movement amount of the beam may be smaller than an evaluation deflection movement amount; forming, while variably setting the settling time of the DAC amplifier, an evaluation pattern such that both ends of the width dimension of the evaluation pattern being the same design width dimension as that of the reference pattern, for each of times set variably, such that a deflection movement amount of a second beam shot of two beam shots successively shot is equivalent to the evaluation deflection movement amount; calculating a difference between the width dimension of the evaluation pattern concerned and that of the reference pattern, for each of the times set variably; and acquiring a settling time of the DAC amplifier necessary for the deflection movement amount, using the difference.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: September 29, 2015
    Assignee: NuFlare Technology, Inc.
    Inventor: Rieko Nishimura
  • Patent number: 9147554
    Abstract: An ion implantation system includes a beamline configured to direct an ion beam toward an end station configured to hold or support a workpiece, and a scanning system. The scanning system is configured to scan the end station past the ion beam in a two-dimensional fashion comprising a first scan axis along a first direction and a second scan axis along a second direction that is different than the first direction. The system further includes a supplemental scanning component operably associated with the scanning system, and configured to effectuate a scanning of the ion beam with respect to the end station along a third scan axis having a third direction that is different than the first direction.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: September 29, 2015
    Assignee: Axcelis Technologies, Inc.
    Inventor: Andy Ray
  • Patent number: 9140834
    Abstract: In the method for creating color patterns for technical applications and visible for the human eye by means of diffraction gratings through light irradiation, diffraction grating arrays are produced directly on a solid body surface in a laser microstructuring process by at least one laser installation in the nanosecond range or in the pico- or femtosecond range, each diffraction grating array being composed of subareas (81) whose longitudinal dimension has a value below the resolving ability of the eye and which contain at least one pixel (81, 82, 83), a pixel being a limited diffraction grating structure for producing a spectral color. The direct application of such color-producing diffraction grating structures to a solid body surface enables a large variety of decorative and authentication possibilities ranging from embossing tools to jewellery.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: September 22, 2015
    Assignee: Boegli-Gravures S.A.
    Inventor: Charles Boegli
  • Patent number: 9140546
    Abstract: An apparatus (1) and a method for the three dimensional inspection of saw marks (2) on at least one surface (3) of a wafer (4) are disclosed. At least one camera (6) is required to capture an image of the entire surface (3) of the wafer (4). At least one line projector (8) provides a light bundle (5), centered about a central beam axis (9). The line projector (8) is arranged such that the central beam axis (9) is at an acute angle (?) with regard to the plane (P) of the wafer (4). A line shifter (12) is positioned in the light bundle (5) between each line projector (8) and the surface (3) of the wafer (4). A frame grabber (14) and an image processor (16) are used to synchronize and coordinate the image capture and the position of the pattern (20) of lines (22) on the front side (3F) and/or the back side (3B) of the wafer (4).
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: September 22, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Benoit Maison, Andy Hill, Laurent Hermans, Frans Nijs, Karel Van Gils, Christophe Wouters
  • Patent number: 9136090
    Abstract: A method of scanning a surface of an object using a particle beam comprises: determining a surface portion of the surface of the object, wherein the surface portion is to be scanned; determining initial positions of a set of raster points within the surface portion; changing the positions of at least some raster points of the set of raster points; and then scanning the surface portion by directing the particle beam to the positions of the raster points.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: September 15, 2015
    Assignee: CARL ZEISS MICROSCOPY GMBH
    Inventors: Ralph Pulwey, Josef Biberger
  • Patent number: 9111719
    Abstract: A dosimetry system and method are provided for increasing utilization of an ion beam, wherein one or more side Faraday cups are positioned along a path of the ion beam and configured to sense a current thereof. The one or more side Faraday cups are separated by a distance associated with a diameter of the workpiece. The ion beam reciprocally scans across the workpiece, interlacing narrow scans and wide scans, wherein narrow scans are defined by reversing direction of the scanning near an edge of the workpiece, and wide scans are defined by reversing direction of the scanning at a position associated with an outboard region of the side Faraday cups. A beam current is sensed by the side Faraday cups concurrent with scanning the beam, wherein the side Faraday cups are connected to a dosimeter only concurrent with a wide scan of the ion beam, and are disconnected concurrent with narrow scans of the ion beam. The side Faraday cups are further connected to ground concurrent with narrow scans of the ion beam.
    Type: Grant
    Filed: January 30, 2014
    Date of Patent: August 18, 2015
    Assignee: Axcelis Technologies, Inc.
    Inventor: Shu Satoh
  • Patent number: 9103665
    Abstract: An apparatus (1) and a method for the three dimensional inspection of saw marks (2) on at least one surface (3) of a wafer (4) are disclosed. At least one camera (6) is required to capture an image of the entire surface (3) of the wafer (4). At least one line projector (8) provides a light bundle (5), centered about a central beam axis (9). The line projector (8) is arranged such that the central beam axis (9) is at an acute angle (?) with regard to the plane (P) of the wafer (4). A line shifter (12) is positioned in the light bundle (5) between each line projector (8) and the surface (3) of the wafer (4). A frame grabber (14) and an image processor (16) are used to synchronize and coordinate the image capture and the position of the pattern (20) of lines (22) on the front side (3F) and/or the back side (3B) of the wafer (4).
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: August 11, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Benoit Maison, Andy Hill, Laurent Hermans, Frans Nijs, Karel Van Gils, Christophe Wouters
  • Patent number: 9097611
    Abstract: A monitoring system for monitoring fluid in a fluid supply vessel during operation including dispensing of fluid from the fluid supply vessel. The monitoring system includes (i) one or more sensors for monitoring a characteristic of the fluid supply vessel or the fluid dispensed therefrom, (ii) a data acquisition module operatively coupled to the one or more sensors to receive monitoring data therefrom and responsively generate an output correlative to the characteristic monitored by the one or more sensors, and (iii) a processor and display operatively coupled with the data acquisition module and arranged to process the output from the data acquisition module and responsively output a graphical representation of fluid in the fluid supply vessel.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: August 4, 2015
    Assignee: ENTEGRIS, INC.
    Inventors: James V. McManus, James Dietz, Steven M. Lurcott
  • Patent number: 9068918
    Abstract: Disclosed herein are systems and methods for in-situ measurement of impurities on metal slugs utilized in electron-beam metal evaporation/deposition systems, and for increasing the production yield of a semiconductor manufacturing processes utilizing electron-beam metal evaporation/deposition systems. A voltage and/or a current level on an electrode disposed in a deposition chamber of an electron-beam metal evaporation/deposition system is monitored and used to measure contamination of the metal slug. Should the voltage or current reach a certain level, the deposition is completed and the system is inspected for contamination.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: June 30, 2015
    Assignee: SKYWORKS SOLUTIONS, INC.
    Inventor: Kezia Cheng
  • Patent number: 9070533
    Abstract: A gas injection system provides a local region at the sample surface that has sufficient gas concentration to be ionized by secondary electrons to neutralize charged on the sample surface. In some embodiments, a gas concentration structure concentrates the gas near the surface. An optional hole in the gas concentration structure allows the charged particle beam to impact the interior of a shrouded region. In some embodiments, an anode near the surface increases the number of ions that return to the work piece surface for charge neutralization, the anode in some embodiments being a part of the gas injection system and in some embodiments being a separate structure.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: June 30, 2015
    Assignee: FEI COMPANY
    Inventors: Marc Castagna, Clive D. Chandler, Wayne Kurowski, Daniel Woodrow Phifer, Jr.
  • Patent number: 9053906
    Abstract: To irradiate a target with a beam of energetic radiation formed by electrically charged particles, the beam is formed and imaged onto a target, where it generates a pattern image composed of pixels. The pattern image is moved along a path on the target over a region to be exposed, and this movement defines a number of stripes covering said region in sequential exposures and having respective widths. The number of stripes is written in at least two subsequent passes such that for each pass, the widths of the stripes of one pass combine into a cover of the total width of the region to be exposed; and each pass is associated with one of a number of partial grids of pattern pixels which are exposable during the respective pass. The mutually different partial grids combine to the complete plurality of pattern pixels which compose the region to be exposed.
    Type: Grant
    Filed: July 25, 2014
    Date of Patent: June 9, 2015
    Assignee: IMS Nanofabrication AG
    Inventor: Elmar Platzgummer
  • Publication number: 20150147894
    Abstract: Heating within a plane of a substrate may be uniform while a thermal budget is decreased. A substrate processing apparatus includes a process chamber configured to accommodate a substrate; a substrate mounting unit installed in the process chamber and configured to have the substrate placed thereon; an electromagnetic wave supply unit configured to supply an electromagnetic wave to the substrate placed on the substrate mounting unit; and a choke groove formed on a side surface of the substrate mounting unit.
    Type: Application
    Filed: February 5, 2015
    Publication date: May 28, 2015
    Inventors: Katsuyoshi HAMANO, Atsushi UMEKAWA, Takuya JODA, Akinori ISHII, Masahisa OKUNO
  • Patent number: RE45552
    Abstract: The present invention relates a probe forming lithography system for generating a pattern on to a target surface such as a wafer, using a black and white writing strategy, i.e. writing or not writing a grid cell, thereby dividing said pattern over a grid comprising grid cells, said pattern comprising features of a size larger than that of a grid cell, in each of which cells said probe is switched “on” or “off, wherein a probe on said target covers a significantly larger surface area than a grid cell, and wherein within a feature a position dependent distribution of black and white writings is effected within the range of the probe size as well as to a method upon which such system may be based.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: June 9, 2015
    Assignee: MAPPER LITHOGRAPHY IP B.V.
    Inventors: Pieter Kruit, Remco Jager, Stijn Willem Herman Karel Steenbrink, Marco Jan-Jaco Wieland