Etching Or Brightening Compositions Patents (Class 252/79.1)
  • Patent number: 8911643
    Abstract: A polishing liquid which is used for chemical mechanical polishing of a body to be polished in a planarization process for manufacturing of a semiconductor integrated circuit, the body to be polished including at least a first layer containing polysilicon or modified polysilicon and a second layer containing at least one selected from the group consisting of silicon oxide, silicon nitride, silicon carbide, silicon carbonitride, silicon oxycarbide, and silicon oxynitride, the polishing liquid having a pH of 1.5 to 7.0, including (1) colloidal silica particles, (2) an organic acid, and (3) an anionic surfactant, and being capable of selectively polishing the second layer with respect to the first layer.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: December 16, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Tetsuya Kamimura
  • Patent number: 8906252
    Abstract: The invention provides a chemical-mechanical polishing composition containing a ceria abrasive, an ionic polymer of formula I: wherein X1 and X2, Z1 and Z2, R2, R3, and R4, and n are as defined herein, and water, wherein the polishing composition has a pH of about 1 to about 4.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: December 9, 2014
    Assignee: Cabot Microelelctronics Corporation
    Inventors: Kevin P. Dockery, Renhe Jia, Jeffrey Dysard
  • Patent number: 8906253
    Abstract: A gap embedding composition used for embedding a patterned gap formed between photosensitive resin film portions on a semiconductor substrate surface, the gap embedding composition, at least having: a hydrolysis condensate of an aryloxysilane raw material; and an aromatic compound, as a solvent.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: December 9, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Keiji Yamamoto
  • Patent number: 8900478
    Abstract: Disclosed are an etchant which is used for redistribution of a semiconductor substrate having an electrode and which is capable of selectively etching copper without etching nickel; and a method for manufacturing a semiconductor device using the same. Specifically disclosed are an etchant which is used for redistribution of a semiconductor substrate and which contains hydrogen peroxide and citric acid and has a content of hydrogen peroxide of from 0.75 to 12% by mass and a content of citric acid of from 1 to 20% by mass, with a molar ratio of hydrogen peroxide and citric acid being in the range of from 0.3 to 5; an etchant for selective etching of copper which is used for redistribution of a semiconductor substrate and which contains hydrogen peroxide and malic acid and has a content of hydrogen peroxide of from 0.75 to 12% by mass and a content of malic acid of from 1.5 to 25% by mass, with a molar ratio of hydrogen peroxide and malic acid being in the range of from 0.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: December 2, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Akira Hosomi, Kensuke Ohmae
  • Patent number: 8900472
    Abstract: A liquid agent for the surface treatment of monocrystalline wafers, which contains an alkaline etching agent and also at least one low-volatile organic compound. Systems of this type can be used both for the cleaning, damage etch and texturing of wafer surfaces in a single etching step and exclusively for the texturing of silicon wafers with different surface quality, whether it now be wire-sawn wafers with high surface damage or chemically polished surfaces with minimum damage density.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: December 2, 2014
    Assignee: Fraunhofer-Gesellschaft zur Föerderung der angewandten Forschung E.V.
    Inventors: Kuno Mayer, Mark Schumann, Daniel Kray, Teresa Orellana Peres, Jochen Rentsch, Martin Zimmer, Elias Kirchgässner, Eva Zimmer, Daniel Biro, Arpad Mihai Rostas, Filip Granek
  • Patent number: 8900477
    Abstract: Provided are a metal-polishing liquid that comprises an oxidizing agent, an oxidized-metal etchant, a protective film-forming agent, a dissolution promoter for the protective film-forming agent, and water; a method for producing it; and a polishing method of using it. Also provided are materials for the metal-polishing liquid, which include an oxidized-metal etchant, a protective film-forming agent, and a dissolution promoter for the protective film-forming agent.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: December 2, 2014
    Assignees: Hitachi, Ltd., Hitachi Chemical Company, Ltd.
    Inventors: Takeshi Uchida, Tetsuya Hoshino, Hiroki Terazaki, Yasuo Kamigata, Naoyuki Koyama, Yoshio Honma, Seiichi Kondoh
  • Publication number: 20140346138
    Abstract: A polishing composition for a magnetic disk substrate of the present invention includes water, silica particles, and at least one or more selected from an acid, a salt of the acid, and an oxidizing agent. The silica particles are observed with a transmission electron microscope to measure a maximum diameter and a projected area of each particle, and a value obtained by dividing the area of a circle whose diameter is the maximum diameter of a silica particle by the projected area of the silica particle and multiplying the result by 100, is in the range of 100 to 130.
    Type: Application
    Filed: August 12, 2014
    Publication date: November 27, 2014
    Inventors: Yoshiaki OSHIMA, Norihito YAMAGUCHI, Haruhiko DOI
  • Publication number: 20140349483
    Abstract: The invention provides a chemical-mechanical polishing composition containing a ceria abrasive and a polymer of formula I: wherein X1 and X2, Y1 and Y2, Z1 and Z2, R1, R2, R3, and R4, and m are as defined herein, and water, wherein the polishing composition has a pH of about 1 to about 4.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon.
    Type: Application
    Filed: May 29, 2014
    Publication date: November 27, 2014
    Inventors: Tina LI, Kevin Dockery, Renhe Jia, Jeffrey Dysard
  • Publication number: 20140346140
    Abstract: The invention provides a chemical-mechanical polishing composition containing a ceria abrasive, an ionic polymer of formula I: wherein X1 and X2, Z1 and Z2, R2, R3, and R4, and n are as defined herein, and water, wherein the polishing composition has a pH of about 1 to about 4.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon.
    Type: Application
    Filed: May 21, 2013
    Publication date: November 27, 2014
    Inventors: Kevin P. Dockery, Renhe Jia, Jeffrey Dysard
  • Publication number: 20140346391
    Abstract: Provided is a rinse solution for a hydrogenated polysiloxazane thin film including an additive selected from an alcohol-based solvent, an ester-based solvent, a silanol-based solvent, an alkoxysilane-based solvent, an alkylsilazane-based solvent, and a combination thereof in an amount of 0.01 wt % to 7 wt % based on the total amount of the rinse solution.
    Type: Application
    Filed: October 31, 2012
    Publication date: November 27, 2014
    Inventors: Bong-Hwan Kim, Taek-Soo Kwak, Jin-Hee Bae, Hui-Chan Yun, Sang-Hak Lim, Sang-Kyun Kim, Jin-Wook Lee
  • Publication number: 20140349484
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a metal portion or an interlayer insulation film. The polishing composition contains silica on which an organic acid, such as a sulfonic acid and a carboxylic acid, is immobilized and an oxidizing agent.
    Type: Application
    Filed: December 25, 2012
    Publication date: November 27, 2014
    Inventors: Shuugo Yokota, Yoshihiro Kachi, Tomoiko Akatsuka
  • Patent number: 8894877
    Abstract: A liquid composition for wet etching has improved selectivity for polysilicon over silicon dioxide, even when the polysilicon is heavily doped and/or the silicon dioxide is a low temperature oxide. The composition comprises 0.05-0.4 percent by weight hydrofluoric acid, 15-40 percent by weight nitric acid, 55-85 percent by weight sulfuric acid and 2-20 percent by weight water. A method and apparatus for wet etching using the composition are also disclosed.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: November 25, 2014
    Assignee: Lam Research AG
    Inventor: Stefan Detterbeck
  • Patent number: 8894876
    Abstract: The present invention relates to an etchant for etching metal wiring, and the metal wiring etchant according to the present invention includes hydrogen peroxide at about 5 wt % to about 15 wt %, an oxidant at about 0.5 wt % to about 5 wt %, a fluoride-based compound at about 0.1 wt % to about 1 wt %, a nitrate-based compound at about 0.5 wt % to about 5 wt %, and a boron-based compound at about 0.05 wt % to about 1 wt %.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: November 25, 2014
    Assignees: Samsung Display Co., Ltd., Soulbrain Co., Ltd.
    Inventors: Byeong-Jin Lee, Hong-Sick Park, Tai-Hyung Rhee, Yong-Sung Song, Choung-Woo Park, Jong-Hyun Oh
  • Patent number: 8894872
    Abstract: A liquid etching composition comprising: (a) at least one etching agent precursor having an activation temperature of at least 400° C., at which temperature said precursor yields an active agent suitable for chemical etching of glass, said precursor present at a concentration of at least 2.5% w/w; (b) a binder; and (c) a liquid vehicle.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: November 25, 2014
    Assignee: DIP Tech Ltd.
    Inventors: Matti Ben-Moshe, Michael Kheyfets
  • Publication number: 20140342561
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a portion containing a group III-V compound material. The polishing composition contains abrasive grains, an oxidizing agent, and a water-soluble polymer. When the polishing composition is left to stand for one day in an environment with a temperature of 25° C., the water-soluble polymer may be adsorbed on the abrasive grains at 5,000 or more molecules per 1 ?m2 of the surface area of the abrasive grains. Alternatively, the water-soluble polymer may be a compound that reduces the water contact angle of the portion containing a group III-V compound material of the object after being polished with the polishing composition.
    Type: Application
    Filed: November 21, 2012
    Publication date: November 20, 2014
    Inventors: Shuugo Yokota, Yasuyuki Yamato, Satoru Yarita, Tomohiko Akatsuka
  • Publication number: 20140342560
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a portion containing a group III-V compound material. The polishing composition contains an oxidizing agent and an anticorrosive agent. The anticorrosive agent is preferably a nitrogen-containing organic compound, such as 1H-1,2,4-triazole and benzotriazole, or an organic compound having a carboxyl group, for example, dicarboxylic acid, such as malonic acid, succinic acid, glutaric acid, adipic acid, pimelic acid, maleic acid, phthalic acid, malic acid, and tartaric acid, or tricarboxylic acid, such as citric acid.
    Type: Application
    Filed: November 21, 2012
    Publication date: November 20, 2014
    Inventors: Shuugo Yokota, Yasuyuki Yamato, Satoru Yarita, Tomohiko Akatsuka
  • Publication number: 20140342562
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a portion containing a high-mobility material and a portion containing a silicon material. The polishing composition comprises odd-shaped abrasive grains and an oxidizing agent having a standard electrode of 0.3 V or more, and preferably further contains a salt, such as an ammonium salt. The pH of the polishing composition is 1 or more and 6 or less, or 8 or more and 14 or less. The average degree of association of the abrasive grains, obtained by dividing the value of the average secondary particle diameter of the abrasive grains by the value of the average primary particle diameter of the abrasive grains, is preferably 1.6 or more.
    Type: Application
    Filed: November 21, 2012
    Publication date: November 20, 2014
    Inventors: Shuugo Yokota, Yasuyuki Yamato, Satoru Yarita, Tomohiko Akatsuka, Shuichi Tamada
  • Publication number: 20140339462
    Abstract: The present invention discloses compounds of 4,4?-diaminostilbene-2,2?-disulphonic acid which are useful as optical brighteners to bleach fibres, cellulose and in particular paper and cardboard.
    Type: Application
    Filed: July 17, 2014
    Publication date: November 20, 2014
    Inventors: Ferruccio Berte', Patrick Alborghetti
  • Patent number: 8889032
    Abstract: A metal wire etchant including persulfate, a sulfonate, a fluorine compound, an azole-based compound, an organic acid, a nitrate, and a chlorine compound, and a method of making the same.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: November 18, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong-Hyun Choung, In-Bae Kim, Seon-II Kim, Hong Sick Park, Jae Woo Jeong, Gyu-Po Kim, Won-Guk Seo, Hyun-Cheol Shin, Ki-Beom Lee, Sam-Young Cho, Seung-Yeon Han
  • Patent number: 8889555
    Abstract: A polishing agent for copper polishing, comprising (A) an inorganic acid with divalent or greater valence, (B) an amino acid, (C) a protective film-forming agent, (D) an abrasive, (E) an oxidizing agent and (F) water, wherein the content of the component (A) is at least 0.08 mol/kg, the content of the component (B) is at least 0.20 mol/kg, the content of the component (C) is at least 0.02 mol/kg, and either or both of the following conditions (i) and (ii) are satisfied. (i): The proportion of the content of the component (A) with respect to the content of the component (C) is 2.00 or greater. (ii): It further comprises (G) at least one kind selected from among organic acids and their acid anhydrides.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: November 18, 2014
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Hiroshi Ono, Takashi Shinoda, Yuuhei Okada
  • Publication number: 20140332713
    Abstract: An etching method having the step of: applying an etching liquid to a substrate, the etching liquid containing: a fluorine ion, a nitrogen-containing compound having at least 2 of nitrogen-containing structural units, and water, the etching liquid having a pH of being adjusted to 5 or less; and etching a titanium compound in the substrate.
    Type: Application
    Filed: July 22, 2014
    Publication date: November 13, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Atsushi MIZUTANI, Hisamitsu TOMEBA, Kazutaka TAKAHASHI, Tadashi INABA
  • Publication number: 20140335763
    Abstract: The present invention provides a polishing composition for a magnetic disk substrate that can reduce scratches and surface roughness of a polished substrate without impairing the productivity, and a method for manufacturing a magnetic disk substrate using the polishing composition. The polishing composition for a magnetic disk substrate includes colloidal silica having a ?CV value of 0 to 10% and water.
    Type: Application
    Filed: July 29, 2014
    Publication date: November 13, 2014
    Inventors: Yoshiaki OSHIMA, Takeshi HAMAGUCHI, Kanji SATO, Norihito YAMAGUCHI, Haruhiko DOI
  • Patent number: 8883031
    Abstract: The CMP polishing liquid containing a medium and silica particles as an abrasive grain dispersed into the medium. The silica particles have a silanol group density of 5.0/nm2 or less and the biaxial average primary particle diameter when arbitrary 20 silica particles are selected from an image obtained by scanning electron microscope observation is 25 to 55 nm. The association degree of the silica particles is 1.1 or more. The CMP polishing liquid has the high barrier film polishing speed, the favorable abrasive grain dispersion stability, and the high interlayer dielectric polishing speed. The CMP polishing liquid can provide a method of producing semiconductor substrates or the like, that have excellent microfabrication, thin film formation, dimension accuracy, electric property and high reliability with low cost.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: November 11, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Mamiko Kanamaru, Tomokazu Shimada, Takashi Shinoda
  • Patent number: 8883652
    Abstract: A silicon etching liquid characterized by anisotropically dissolving monocrystalline silicon therein by using an aqueous solution containing a quaternary ammonium hydroxide and an aminoguanidine salt and an etching method of silicon using the instant etching liquid are an etching liquid and an etching method enabling one to perform processing at a high etching rate in etching processing of silicon, particularly in etching processing of silicon in a manufacturing process of MEMS parts or semiconductor devices.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: November 11, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kazuyoshi Yaguchi, Ryuji Sotoaka
  • Patent number: 8883034
    Abstract: The invention provides a polishing composition comprising (a) silica, (b) one or more compounds that increases the removal rate of silicon, (c) one or more tetraalkylammonium salts, and (d) water, wherein the polishing composition has a pH of about 7 to about 11. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: November 11, 2014
    Inventors: Brian Reiss, John Clark, Lamon Jones, Jeffrey Gilliland, Michael White
  • Publication number: 20140329184
    Abstract: A method for the stabilization of an aqueous choline hydroxide solution includes, optionally adding a first stabilizer of a dithionite salt and/or a dialkylhydroxylamine to an aqueous solution containing reactants that will produce an aqueous choline hydroxide solution; and after the aqueous choline hydroxide solution is formed, adding a second stabilizer which comprises a dialkylhydroxylamine to the aqueous choline hydroxide solution. The stabilized choline hydroxide solution may include choline hydroxide, water, and a dialkylhydroxylamine and optionally a dithionite salt as a stabilizer present in an amount of from about 50 ppm to less than about 5000 ppm by weight relative to the total weight of the stabilized choline hydroxide solution.
    Type: Application
    Filed: November 22, 2012
    Publication date: November 6, 2014
    Applicant: TAMINCO
    Inventors: Kristof Moonen, Michael David Gernon
  • Publication number: 20140326696
    Abstract: Disclosed is a microetching solution, a replenishment solution added to said microetching solution and a method for production of a wiring board using said microetching solution. The microetching solution for copper consists of an aqueous solution containing a cupric ion, an organic acid, a halide ion, a polymer and a nonionic surfactant. The polymer is a water-soluble polymer including a polyamine chain and/or a cationic group and having a weight average molecular weight of 1000 or more. In the microetching solution of the present invention, a value of AB is 2000 to 9000 and a value of A/D is 500 to 9000, where a concentration of the halide ion is A % by weight, a concentration of the polymer is B % by weight and a concentration of the nonionic surfactant is D % by weight. Using this microetching solution, adhesion to a resin or the like can be uniformly maintained even with a low etching amount.
    Type: Application
    Filed: June 25, 2013
    Publication date: November 6, 2014
    Inventors: Masayo Kurii, Kiyoto Tai, Mami Nakamura, Yuki Ogino
  • Patent number: 8877088
    Abstract: The invention relates to formulations with anti-graffiti-scrawling, self-cleaning, anti-incrustation and/or nonstick properties. The formulations are made from synthetic and natural polymers and in a system that may be either mono- or bi-component. In addition, the invention also relates to the application of said formulations to objects, monuments, constructions and means of transport, imparting protection thereto. Further, the present invention relates to processes for preparing said formulations.
    Type: Grant
    Filed: August 28, 2009
    Date of Patent: November 4, 2014
    Assignee: Roma Comercial Quimica Ltda.
    Inventors: Francisco Rodrigues de Lira, Hildebrando Lucas Santos
  • Patent number: 8877082
    Abstract: Disclosed is a processing method which can achieve a high processing rate, and is capable of making a surface smooth. In order to achieve this an SiC substrate is arranged in a potassium hydroxide solution containing hydrogen peroxide, and ultraviolent radiation is irradiated on the surface of the SiC substrate. An SiO2 layer is formed on the surface of the SiC substrate due to the irradiation of ultraviolet radiation, and this SiO2 layer is chemically removed by means of the potassium hydroxide solution, and also removed by a synthetic quartz surface plate.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: November 4, 2014
    Assignee: National University Corporation Kumamoto University
    Inventors: Akihisa Kubota, Mutsumi Touge
  • Publication number: 20140319411
    Abstract: There is provided a polishing liquid composition that can effectively reduce LPDs having a size of 50 nm or less on a wafer surface in polishing of semiconductor wafers. A semiconductor wafer polishing liquid composition including: water; silica particles; an alkaline compound; a water-soluble polymer compound; and polyethylene glycol, wherein the semiconductor wafer polishing liquid composition satisfies conditions (a) to (c): (a) a shape factor SF1 of the silica particles is 1.00 to 1.20, (b) a mean primary particle diameter of the silica particles that is obtained by a nitrogen adsorption method is 5 nm to 100 nm, and a coefficient of particle diameter variation CV value obtained from image analysis of the transmission electron microscope image is in a range of 0% to 15%, and (c) the polyethylene glycol has a number average molecular weight of 200 to 15,000.
    Type: Application
    Filed: November 16, 2011
    Publication date: October 30, 2014
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Hiroaki Sakaida, Fumiaki Araki, Yoshiyuki Kashima
  • Publication number: 20140322913
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a portion containing a high-mobility material and a portion containing a silicon material. The polishing composition comprises an oxidizing agent and abrasive grains having an average primary particle diameter of 40 nm or less. The polishing composition preferably further contains a hydrolysis-suppressing compound that bonds to a surface OH group of the portion containing a silicon material of the object to function to suppress hydrolysis of the portion containing a silicon material. Alternatively, a polishing composition of the present invention contains abrasive grains, an oxidizing agent, and a hydrolysis-suppressing compound. The polishing composition preferably has a neutral pH.
    Type: Application
    Filed: November 21, 2012
    Publication date: October 30, 2014
    Inventors: Shuugo Yokota, Yasuyuki Yamato, Satoru Yarita, Tomohiko Akatsuka, Shuichi Tamada
  • Publication number: 20140315386
    Abstract: Solid metal compound coated colloidal particles are made through a process by coating metal compounds onto colloidal particle surfaces. More specifically, metal compound precursors react with the base solution to form solid metal compounds. The solid metal compounds are deposited onto the colloidal particle surfaces through bonding. Excess ions are removed by ultrafiltration to obtain the stable metal compound coated colloidal particle solutions. Chemical mechanical polishing (CMP) polishing compositions using the metal compound coated colloidal particles prepared by the process as the solid state catalyst, or as both catalyst and abrasive, provide uniform removal profiles across the whole wafer.
    Type: Application
    Filed: March 25, 2014
    Publication date: October 23, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Hongjun Zhou, Xiaobo Shi, James A. Schlueter, Jo-Ann T. Schwartz
  • Publication number: 20140312266
    Abstract: Disclosed are a polishing slurry used in a polishing process of tungsten and a method of polishing using the same. The slurry includes an abrasive for performing polishing and an oxidation promoting agent for promoting the formation of an oxide. The abrasive includes titanium oxide particles.
    Type: Application
    Filed: September 14, 2012
    Publication date: October 23, 2014
    Inventors: Jea Gun Park, Gon Sub Lee, Jin Hyung Park, Jae Hyung Lim, Jong Young Cho, Hee Sub Hwang, Hao Cui
  • Publication number: 20140312264
    Abstract: A colloidal sol and a method of making colloidal sol that is capable of controlling the resulting particle size and more specifically, using a potassium hydroxide process to obtain a colloidal sol having a single peak of average particle sizes.
    Type: Application
    Filed: April 17, 2014
    Publication date: October 23, 2014
    Inventors: Kenneth Warnshuis, George Haag, Peter Rau, Keith Hirsch
  • Publication number: 20140312265
    Abstract: A chemical solution that removes undesired metal hard mask yet remains selective to the device wiring metallurgy and dielectric materials. The present invention decreases aspect ratio by selective removal of the metal hard mask before the metallization of the receiving structures without adverse damage to any existing metal or dielectric materials required to define the semiconductor device, e.g. copper metallurgy or device dielectric. Thus, an improved aspect ratio for metal fill without introducing any excessive trapezoidal cross-sectional character to the defined metal receiving structures of the device will result.
    Type: Application
    Filed: July 3, 2014
    Publication date: October 23, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shyng-Tsong Chen, John A. Fitzsimmons, David L. Rath, Muthumanickam Sankarapandian, Oscar van der Straten
  • Patent number: 8865013
    Abstract: A method for chemical mechanical polishing of a substrate comprising tungsten using a nonselective chemical mechanical polishing composition.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: October 21, 2014
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Jerry Lee, Raymond L. Lavoie, Jr., Guangyun Zhang
  • Patent number: 8865017
    Abstract: A method of texturing a surface of a crystalline silicon substrate is provided. The method includes immersing a crystalline silicon substrate into an aqueous alkaline etchant solution to form a pyramid shaped textured surface, with (111) faces exposed, on the crystalline silicon substrate. The aqueous alkaline etchant solution employed in the method of the present disclosure includes an alkaline component and a nanoparticle slurry component. Specifically, the aqueous alkaline etchant solution of the present disclosure includes 0.5 weight percent to 5 weight percent of an alkaline component and from 0.1 weight percent to 5 weight percent of a nanoparticle slurry on a dry basis.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: October 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mahadevaiyer Krishnan, Jun Liu, Satyavolu S. Papa Rao, George G. Totir
  • Publication number: 20140308814
    Abstract: In one aspect, a substrate chemical mechanical polishing (CMP) method for copper-layered substrates is disclosed. The CMP method includes providing a substrate having a surface of copper, and pre-treating the surface containing copper with a first composition containing a carrier liquid, a corrosion inhibitor, and an oxidizer in a pre-treatment phase, and thereafter, polishing the surface with a slurry composition in a main polishing phase. CMP systems and compositions for CMP are provided, as are numerous other aspects.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 16, 2014
    Applicant: Applied Materials, Inc
    Inventors: David Maxwell Gage, You Wang, Zhihong Wang, Wen-chiang Tu
  • Publication number: 20140305901
    Abstract: The present invention relates to an additive mixture comprising a polyacrylate salt, an acid ester, and a defoamer agent. A polishing composition and a polishing method used for polishing a glass substrate are also provided.
    Type: Application
    Filed: November 9, 2011
    Publication date: October 16, 2014
    Applicant: RHODIA OPERATIONS
    Inventors: Qiang Gong, Aimin Huang
  • Publication number: 20140308616
    Abstract: The present invention is primarily related to the composition of an aqueous etchant containing a precursor of oxidant and patterning methods for conductive circuits, in which the chemical structure of the precursor contains chlorine and can produce oxidants through various reactions. And, the patterned conductive circuits can be used for electronic devices, including printed electronics, sensors, displays, organic light emitting diodes (OLED), touch panels, electronic circuit boards, electrodes, electroluminescent (EL) films, antennas, and solar cells.
    Type: Application
    Filed: April 14, 2014
    Publication date: October 16, 2014
    Applicant: Polychem UV/EB International Corp.
    Inventors: Yung-Shu YANG, Chun-Chieh HAN
  • Publication number: 20140306146
    Abstract: The present invention is an etching gas comprising an unsaturated fluorohydrocarbon represented by CxHyFz (wherein x=3, 4, or 5, y+z?2x, and y>z) and a method comprising selectively etching a silicon nitride film relative to a silicon oxide film or a silicon film using the etching gas. According to the present invention, a silicon nitride film stacked on a silicon oxide film or a silicon film can be highly selectively etched.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 16, 2014
    Applicant: ZEON CORPORATION
    Inventors: Azumi Ito, Atsuyo Yamazaki
  • Patent number: 8859428
    Abstract: Methods for removing, reducing or treating the trace metal contaminants and the smaller fine sized cerium oxide particles from cerium oxide particles, cerium oxide slurry or chemical mechanical polishing (CMP) compositions for Shallow Trench Isolation (STI) process are applied. The treated chemical mechanical polishing (CMP) compositions, or the CMP polishing compositions prepared by using the treated cerium oxide particles or the treated cerium oxide slurry are used to polish substrate that contains at lease a surface comprising silicon dioxide film for STI (Shallow trench isolation) processing and applications. The reduced nano-sized particle related defects have been observed due to the reduced trace metal ion contaminants and reduced very smaller fine cerium oxide particles in the Shallow Trench Isolation (STI) CMP polishing.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: October 14, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, John Edward Quincy Hughes, Hongjun Zhou, Daniel Hernandez Castillo, II, Jae Ouk Choo, James Allen Schlueter, Jo-Ann Theresa Schwartz, Laura Ledenbach, Steve Charles Winchester, Saifi Usmani, John Anthony Marsella, Martin Kamau Ngigi Mungai
  • Patent number: 8859429
    Abstract: A polishing agent for copper polishing, comprising (A) an inorganic acid with divalent or greater valence, (B) an amino acid, (C) a protective film-forming agent, (D) an abrasive, (E) an oxidizing agent and (F) water, wherein the content of the component (A) is at least 0.08 mol/kg, the content of the component (B) is at least 0.20 mol/kg, the content of the component (C) is at least 0.02 mol/kg, and either or both of the following conditions (i) and (ii) are satisfied. (i): The proportion of the content of the component (A) with respect to the content of the component (C) is 2.00 or greater. (ii): It further comprises (G) at least one kind selected from among organic acids and their acid anhydrides.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: October 14, 2014
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Hiroshi Ono, Takashi Shinoda, Yuuhei Okada
  • Patent number: 8858819
    Abstract: The titled method affords low dishing levels in the polished substrate while simultaneously affording high metal removal rates. The method utilizes an associated polishing composition. Components in the composition include a poly(alkyleneimine) such as polyethyleneimine, an abrasive, an acid, and an oxidizing agent, such as a per-compound.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: October 14, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Rachel Dianne McConnell, Ann Marie Hurst, Xiaobo Shi
  • Publication number: 20140302683
    Abstract: The invention is directed to providing a dry etching agent having little effect on the global environment but having the required performance. Provided is a dry etching agent containing, each at a specific vol %: (A) a fluorine-containing unsaturated hydrocarbon represented by the formula CaFbHc (in the formula, a, b and c are each positive integers and satisfy the correlations of 2?a?5, c<b?1, 2a+2>b+c and b?a+c, excluding the case where a=3, b=4 or c=2); (B) at least one kind of gas selected from the group consisting of O2, O3, CO, CO2, COCl2, COF2, F2, NF3, Cl2, Br2, I2, and YFn (where Y is Cl, Br or I and n is an integer of 1 to 5); and (C) at least one kind of gas selected from the group consisting of N2, He, Ar, Ne, Xe, and Kr.
    Type: Application
    Filed: June 13, 2012
    Publication date: October 9, 2014
    Applicant: Central Glass Company, Limited
    Inventors: Akiou Kikuchi, Tomonori Umezaki, Yasuo Hibino, Isamu Mori, Satoru Okamoto
  • Patent number: 8853082
    Abstract: An object of the present invention is to provide a polishing liquid for CMP with which polishing scratches can be reduced and a sufficiently high polishing rate can be obtained in a CMP step for an ILD film, aggregation of an abrasive grain is difficult to occur, and high flatness is obtained, and provide a polishing method using the same. The polishing liquid for CMP according to the present invention is a polishing liquid for CMP containing an abrasive grain, an additive, and water, wherein the abrasive grain comprises a cerium-based particle, and the additive comprises a 4-pyrone-based compound and at least one of a nonionic surfactant or a cationic surfactant: [wherein X11, X12, and X13 each independently represent a hydrogen atom or a monovalent substituent].
    Type: Grant
    Filed: December 24, 2010
    Date of Patent: October 7, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Masayuki Hanano, Eiichi Satou, Munehiro Oota, Kanshi Chinone
  • Patent number: 8852451
    Abstract: The present invention relates to a silicon etching solution which is used for selectively etching a dummy gate made of silicon in a process for producing a transistor including a laminate formed of at least a high dielectric material film and a metal gate containing hafnium, zirconium, titanium, tantalum or tungsten by the method of removing the dummy gate made of silicon to replace the dummy gate with the metal gate and which includes 0.1 to 40% by weight of at least one alkali compound selected from the group consisting of ammonia, a diamine and a polyamine represented by the general formula (1), 0.01 to 40% by weight of at least one polyhydric alcohol selected from the group consisting of specific polyhydric alcohols and a non-reducing sugar, and 40 to 99.89% by weight of water, and a process for producing a transistor using the silicon etching solution.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: October 7, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kenji Shimada, Hiroshi Matsunaga
  • Patent number: 8845915
    Abstract: A polishing agent which comprises a composition containing an inorganic acid, an amino acid, a protective film-forming agent, an abrasive, an oxidizing agent, an organic acid and water, adjusted to a pH of 1.5-4, wherein the amount of potassium hydroxide required to raise the pH of the composition without the organic acid to 4 is at least 0.10 mol with respect to 1 kg of the composition without the organic acid, and the organic acid contains at least two carboxyl groups, wherein the logarithm of the inverse of the first acid dissociation constant (pKa1) is no greater than 3.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: September 30, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Hiroshi Ono, Takashi Shinoda, Yuuhei Okada
  • Patent number: 8846533
    Abstract: A cleaning solution of the present invention contains a sodium ion, a potassium ion, an iron ion, an ammonium salt of a sulfuric ester represented by General Formula (1), and water, and each content of the sodium ion, the potassium ion, and the iron ion is 1 ppb to 500 ppb. ROSO3—(X)+ (1) where R is an alkyl group with a carbon number of 8-22 or an alkenyl group with a carbon number of 8-22, and (X)+ is an ammonium ion.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: September 30, 2014
    Assignee: Kao Corporation
    Inventor: Youichi Ishibashi
  • Patent number: 8840798
    Abstract: A slurry composition for chemical mechanical polishing, including 0.1% to 20% by weight of an aminosilane-surface treated polishing agent; 0.001% to 5% by weight of an additive selected from amino acids, amino acid derivatives, salts thereof, and combinations thereof; 0.0001% to 0.5% by weight of a corrosion inhibitor; and 0.01% to 5% by weight of an oxidizing agent, with the balance being a solvent, is provided. The slurry composition for chemical mechanical polishing has a conspicuously high polishing rate for silicon oxide films, is capable of selectively preventing the removal of silicon nitride films, does not cause an imbalance in polishing, gives an excellent degree of planarization, has excellent stability over time and dispersion stability, causes less generation of particles and scratches, and produces very satisfactory polished surfaces of barrier metal films and oxide films.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: September 23, 2014
    Assignee: Soulbrain Co., Ltd.
    Inventors: Deok-Su Han, Hwan-Chul Kim, Seok-Joo Kim, Hyu-Bum Park