Etching Or Brightening Compositions Patents (Class 252/79.1)
  • Patent number: 8841215
    Abstract: Afforded are a polishing agent, and a compound semiconductor manufacturing method and semiconductor device manufacturing method utilizing the agent, whereby the surface quality of compound semiconductor substrates can be favorably maintained, and high polishing rates can be sustained as well. The polishing agent is a polishing agent for Ga?In(1-?)As?P(1-?) (0???1; 0???1) compound semiconductors, and includes an alkali metal carbonate, an alkali metal organic salt, a chlorine-based oxidizer, and an alkali metal phosphate, wherein the sum of the concentrations of the alkali metal carbonate and the alkali metal organic salt is between 0.01 mol/L and 0.02 mol/L, inclusive. The compound semiconductor manufacturing method comprises a step of preparing a Ga?In(1-?)As?P(1-?) (0???1; 0???1) compound semiconductor, and a step of polishing the face of the compound semiconductor utilizing an aforedescribed polishing agent.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: September 23, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Masashi Futamura, Takayuki Nishiura
  • Publication number: 20140264152
    Abstract: In the manufacture of integrated circuits, reactive compositions that include a reactive etchant species and an oxygen-containing species can provide selective removal of target material and can reduce contamination of gas delivery lines.
    Type: Application
    Filed: May 29, 2014
    Publication date: September 18, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Aaron R. Wilson, Mark Kiehlbauch
  • Publication number: 20140273467
    Abstract: Polycrystalline silicon (poly-Si) can be thoroughly removed without significant effect on adjacent oxides by an aqueous solution of ammonium hydroxide with smaller concentrations of hydrogen peroxide than are normally used in ammonia-peroxide mixture (APM) formulations used for cleaning. The etching selectivity of poly-Si relative to oxides can be widely tuned by varying the hydrogen-peroxide concentration. Compared to other formulations used to remove poly-Si dummy gates in logic-node fabrication, such as TMAH, these aqueous solutions are less hazardous to workers and the environment.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: INTERMOLECULAR INC.
    Inventor: Gregory Nowling
  • Publication number: 20140263170
    Abstract: Described herein are compositions, kits and methods for polishing sapphire surfaces using compositions having colloidal aluminosilicate particles in an aqueous acidic solution. In some aspects, the methods for polishing a sapphire surface may include abrading a sapphire surface with a rotating polishing pad and a polishing composition. The polishing composition may include an amount of a colloidal aluminosilicate and may have a pH of about 2.0 to about 7.0.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: ECOLAB USA INC.
    Inventors: Kim Marie Long, Michael A. Kamrath, Sean McCue
  • Publication number: 20140263167
    Abstract: Provided is a polishing composition to be used for polishing an object including a conductor layer and an electrically conductive material layer that is in contact with the conductor layer. In a state in which the positive electrode and the negative electrode of an electrometer are connected to the electrically conductive material layer and the conductor layer, respectively, in the polishing composition at ordinary temperature, the current flowing from the positive electrode to the negative electrode has a positive value or is zero when the electrically conductive material layer and the conductor layer are polished. The polishing composition preferably contains a nitrogen atom-containing compound, a sulfur atom-containing compound, or a phosphorus atom-containing compound as an additive to control the value of the current to positive or zero.
    Type: Application
    Filed: November 8, 2012
    Publication date: September 18, 2014
    Applicant: FUJIMI INCORPORATED
    Inventors: Yoshihiro Kachi, Tomoe Tanaka, Takahiro Umeda
  • Publication number: 20140273458
    Abstract: Chemical mechanical polishing (CMP) compositions for polishing tungsten or tungsten-containing substrates comprise an abrasive, at least one solid catalyst, a chemical additive selected from the groups consisting of piperazine derivatives, salts of cyanate, and combinations thereof; and a liquid carrier. Systems and processes use the aqueous formulations for polishing tungsten or tungsten-containing substrates.
    Type: Application
    Filed: December 27, 2013
    Publication date: September 18, 2014
    Applicant: Air Products And Chemicals, Inc.
    Inventors: Xiaobo Shi, Hongjun Zhou, Blake J. Lew, James Allen Schlueter, Jo-Ann Theresa Schwartz
  • Publication number: 20140263184
    Abstract: Disclosed are a polishing composition and method of polishing a substrate. The composition has low-load (e.g., up to about 0.1 wt. %) of abrasive particles. The polishing composition also contains water and at least one anionic surfactant. In some embodiments, the abrasive particles are alpha alumina particles (e.g., coated with organic polymer). The polishing composition can be used, e.g., to polish a substrate of weak strength such as an organic polymer. An agent for oxidizing at least one of silicon and organic polymer is included in the composition in some embodiments.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Inventors: Lin Fu, Steven Grumbine
  • Publication number: 20140264151
    Abstract: An aqueous cleaning composition for post copper chemical mechanical planarization is provided. The composition comprises an organic base, a copper etchant, an organic ligand, a corrosion inhibitor, and water, wherein the organic base is in a concentration of at least about 200 ppm, the copper etchant is in a concentration of at least about 200 ppm, the organic ligand is in a concentration of at least about 50 ppm, and the corrosion inhibitor is in a concentration of at least about 10 ppm. When used in the post copper chemical mechanical planarization cleaning procedure, the aqueous cleaning composition can effectively remove the residual contaminants from the wafer surface and reduce the defect counts on the wafer surface, while simultaneously, impart the wafers with a better surface roughness.
    Type: Application
    Filed: March 13, 2014
    Publication date: September 18, 2014
    Inventor: Cheng-Yuan KO
  • Publication number: 20140263185
    Abstract: The present invention provides an etching solution for silver or silver alloy comprising one at least ammonium compound represented by the formula (1), (2) or (3) below and an oxidant:
    Type: Application
    Filed: June 2, 2014
    Publication date: September 18, 2014
    Applicant: Inktec Co., Ltd.
    Inventors: Kwang Choon Chung, Hyun-Nam Cho, Young-Kwan Seo
  • Publication number: 20140264155
    Abstract: Methods and formulations for the selective etching of etch stop layers deposited above metal-based semiconductor layers used in the manufacture of TFT-based display devices are presented. The formulations are based on an alkaline solution. Methods and formulations for the selective etching of molybdenum-based and/or copper-based source/drain electrode layers deposited above metal-based semiconductor layers used in the manufacture of TFT-based display devices are presented. The formulations are based on an alkaline solution.
    Type: Application
    Filed: December 18, 2013
    Publication date: September 18, 2014
    Applicant: Intermolecular Inc.
    Inventors: Jeroen Van Duren, Zhi-Wen Wen Sun
  • Publication number: 20140251950
    Abstract: A polishing composition of the present invention is used for polishing an object containing a phase-change alloy and is characterized by containing ammonium ions (NH4+). The polishing composition may further contain abrasive grains, such as colloidal silica.
    Type: Application
    Filed: September 28, 2012
    Publication date: September 11, 2014
    Applicant: FUJIMI INCORPORATED
    Inventors: Yukinobu Yoshizki, Yoshihiro Izawa
  • Patent number: 8828258
    Abstract: A method for surface treatment of a stainless steel separator for a fuel cell comprises preparing a stainless steel sheet containing nickel, chrome and iron, and having a passive film on a surface of the stainless steel sheet, and dipping the stainless steel sheet into a mixed etching solution of nitric acid (HNO3) and sulfuric acid (H2SO4) at a temperature of 50-70° C. for 30 seconds to 30 minutes to selectively lower an amount of Fe in the passive film formed on the surface of the stainless steel sheet.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: September 9, 2014
    Assignee: Hyundai Hysco
    Inventors: Yoo Taek Jeon, Yeon Soo Jeong
  • Patent number: 8828266
    Abstract: A CMP slurry composition includes metal oxide particles, a diisocyanate compound, and deionized water. The CMP slurry composition is capable of selectively controlling polishing speed of a wafer surface having a convex portion and a concave portion, such that primary polishing and secondary polishing can be performed rapidly while stopping polishing of the nitride layer upon the secondary polishing.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: September 9, 2014
    Assignee: Cheil Industries Inc.
    Inventors: Hyun Soo Roh, Dong Jin Kim, Yong Soon Park, Yong Kuk Kim, Young Chul Jung
  • Patent number: 8828255
    Abstract: The invention relates to a method for etching a structure (1) including at least one material (4) to be etched, said method consisting in: selecting at least one chemical species that can react with the material (4) to be etched; selecting at least one soluble compound that can release this chemical species; producing a solution (11) containing said compound; placing the structure (1) in a position such that the surface of the material to be etched is in the presence of the solution and additional bubbles of a gas; and producing high-frequency ultrasounds in the solution, at at least one frequency, capable of generating reactive cavitation bubbles such that the chemical species is generated in the presence of these additional bubbles and reacts with the material to be etched, thereby producing a soluble compound or a precipitate.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: September 9, 2014
    Assignees: Institut Polytechnique de Grenoble, Universite Joseph Fourier
    Inventors: Francis Baillet, Nicolas Gondrexon
  • Patent number: 8828872
    Abstract: The invention relates to a method for etching a structure (1) including at least one material (4) to be etched, said method consisting in: selecting at least one chemical species that can react with the material (4) to be etched; selecting at least one soluble compound that can release this chemical species; producing a solution (11) containing the compound and a powder of particles or solid grains (13) in suspension; placing the material to be etched in the presence of the solution; and producing high-frequency ultrasounds in the solution, at at least one frequency, capable of generating active cavitation bubbles such that the chemical species is generated and reacts with the material to be etched, thereby producing a soluble compound or a precipitate.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: September 9, 2014
    Assignees: Institut Polytechnique de Grenoble, Universite Joseph Fourier
    Inventors: Francis Baillet, Nicolas Gondrexon
  • Publication number: 20140248776
    Abstract: Disclosed is a polishing composition that contains at least abrasive grains, an oxidizing agent having a redox potential equal to or greater than 1.8 V at a pH for application of polishing, and water. The abrasive grains are preferably composed of at least one substance selected from among silicon oxide, aluminum oxide, cerium oxide, zirconium oxide, titanium oxide, manganese oxide, silicon carbide, and silicon nitride. The oxidizing agent is preferably composed of at least one substance selected from among sodium persulfate, potassium persulfate, and ammonium persulfate. The polishing composition preferably has a pH equal to or less than 3.
    Type: Application
    Filed: August 3, 2012
    Publication date: September 4, 2014
    Inventors: Hiroshi Asano, Hitoshi Morinaga, Kazusei Tamai
  • Publication number: 20140248781
    Abstract: A composition is provided that is effective for removing post etch treatment (PET) polymeric films and photoresist from semiconductor substrates. The composition exhibits excellent polymer film removal capability while maintaining compatibility with copper and low-? dielectrics and contains water, ethylene glycol, a glycol ether solvent, morpholinopropylamine and a corrosion inhibiting compound and optionally one or more metal ion chelating agent, one or more other polar organic solvent, one or more tertiary amine, one or more aluminum corrosion inhibition agent, and one or more surfactant.
    Type: Application
    Filed: May 31, 2012
    Publication date: September 4, 2014
    Applicant: Avantor Performance Materials, Inc.
    Inventors: William R. Gemmill, Glenn Westwood
  • Publication number: 20140248823
    Abstract: The invention provides a chemical-mechanical polishing composition containing (a) abrasive particles, (b) a polymer, and (c) water, wherein (i) the polymer possesses an overall charge, (ii) the abrasive particles have a zeta potential Za measured in the absence of the polymer and the abrasive particles have a zeta potential Zb measured in the presence of the polymer, wherein the zeta potential Za is a numerical value that is the same sign as the overall charge of the polymer, and (iii) |zeta potential Zb|>|zeta potential Za|. The invention also provides a method of polishing a substrate with the polishing composition.
    Type: Application
    Filed: March 4, 2013
    Publication date: September 4, 2014
    Applicant: CABOT MICROELECTRONICS CORPORATION
    Inventors: Hon Wu Lau, Haresh Siriwardane
  • Patent number: 8821747
    Abstract: A method for manufacturing a glass substrate for a magnetic disk comprises a surface grinding step of processing a mirror-surface plate glass, having a main surface in the form of a mirror surface, to a required flatness and surface roughness using fixed abrasive particles. The method comprises, before the surface grinding step using the fixed abrasive particles, a surface roughening step of roughening the surface of the mirror-surface plate glass by frosting.
    Type: Grant
    Filed: October 5, 2009
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventors: Takanori Mizuno, Yosuke Suzuki
  • Patent number: 8821215
    Abstract: The invention provides a polishing composition containing a pyrrolidone polymer, an aminophosphonic acid, a tetraalkylammonium salt, and water, wherein the composition has a pH of about 7 to about 11.7. The invention further provides a method of using such a polishing composition to polish a substrate, especially a substrate containing silicon.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: September 2, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventor: Nevin Naguib Sant
  • Patent number: 8821752
    Abstract: The present invention provides an etching composition, comprising a silyl phosphate compound, phosphoric acid and deionized water, and a method for fabricating a semiconductor, which includes an etching process employing the etching composition. The etching composition of the invention shows a high etching selectivity for a nitride film with respect to an oxide film. Thus, when the etching composition of the present invention is used to remove a nitride film, the effective field oxide height (EEH) may be easily controlled by controlling the etch rate of the oxide film. In addition, the deterioration in electrical characteristics caused by damage to an oxide film or etching of the oxide film may be prevented, and particle generation may be prevented, thereby ensuring the stability and reliability of the etching process.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: September 2, 2014
    Assignees: SK Hynix Inc., Soulbrain Co., Ltd.
    Inventors: Sung-Hyuk Cho, Kwon Hong, Hyung-Soon Park, Gyu-Hyun Kim, Ji-Hye Han, Jung-Hun Lim, Jin-Uk Lee, Jae-Wan Park, Chan-Keun Jung
  • Patent number: 8821753
    Abstract: The present invention provides an etching solution for silver or silver alloy comprising one at least ammonium compound represented by the formula (1), (2) or (3) below and an oxidant:
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: September 2, 2014
    Assignee: Inktec Co., Ltd.
    Inventors: Kwang-Choon Chung, Hyun-Nam Cho, Young-Kwan Seo
  • Patent number: 8821750
    Abstract: The present invention relates to a metal polishing slurry containing abrasive grains, a metal-oxide-dissolving agent, and water, wherein the abrasive grains contain two or more abrasive grain species different from each other in average secondary particle diameter. Using the metal polishing slurry of the present invention, a metal polishing slurry can be obtained which gives a large polishing rate of an interlayer dielectric layer, and is high in the flatness of the polished surface. This metal polishing slurry can provide suitable method for a semiconductor device which is excellent in being made finer and thinner and in dimension precision and in electric characteristics, is high in reliability, and can attain a decrease in costs.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: September 2, 2014
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Takashi Shinoda, Shigeru Nobe
  • Patent number: 8822339
    Abstract: The present invention relates to a CMP slurry composition comprising an abrasive particle; a dispersant; an ionic polymer additive; and a non-ionic polymer additive including a polyolefin-polyethylene glycol copolymer including at least two polyethylene glycol repeat unit as a backbone and at least a polyethylene glycol repeating unit as a side chain, and a polishing method with using the slurry composition. The CMP slurry composition shows a low polishing rate to a single-crystalline silicon layer or a polysilicon layer and a high polishing rate to a silicon oxide layer, resulting in having an excellent polishing selectivity.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: September 2, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Dong-Mok Shin, Eun-Mi Choi, Seung-Beom Cho
  • Patent number: 8821751
    Abstract: A CMP composition and associated method are provided that afford good corrosion protection and low defectivity levels both during and subsequent to CMP processing. This composition and method are useful in CMP (chemical mechanical planarization) processing in semiconductor manufacture involving removal of metal(s) and/or barrier layer material(s) and especially for CMP processing in low technology node applications.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: September 2, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, Ronald Martin Pearlstein
  • Publication number: 20140242798
    Abstract: A polishing composition of the present invention is used for polishing an object containing a phase-change alloy and is characterized by containing an ionic additive. Examples of the ionic additive include a cationic surfactant, an anionic surfactant, an amphoteric surfactant, and a cationic water-soluble polymer.
    Type: Application
    Filed: September 28, 2012
    Publication date: August 28, 2014
    Applicant: FUJIMI INCORPORATION
    Inventors: Yoshihiro Izawa, Yukinobu Yoshizaki
  • Publication number: 20140238953
    Abstract: Object is to provide an etching solution which generates less foam and can etch copper or copper alloy at high selectivity when used in a step of etching copper or copper alloy in an electronic substrate having both of copper or copper alloy and nickel. The etching solution to be used in a step of selectively etching copper or copper alloy in an electronic substrate having both of copper or copper alloy and nickel has, as essential components thereof, (A) a linear alkanolamine, (B) a chelating agent having an acid group in the molecule thereof, and (C) hydrogen peroxide.
    Type: Application
    Filed: September 28, 2012
    Publication date: August 28, 2014
    Inventors: Tsutomu Kojima, Yukichi Koji
  • Publication number: 20140242750
    Abstract: The present invention provides a polishing slurry capable of polishing even high-hardness materials such as silicon carbide and gallium nitride at a high polishing speed. The present invention is a polishing slurry including a slurry containing a manganese oxide particle and a manganate ion for polishing high-hardness materials having a Mohs hardness of 8 or higher. In the present invention, the manganese oxide particle in the slurry is preferably 1.0 mass % or more; the manganese oxide is preferably manganese dioxide; and the manganate ion is preferably permanganate ion. The polishing slurry according to the present invention enables even high-hardness hardly-machinable materials such as silicon carbide and gallium nitride to be polished smoothly at a high speed.
    Type: Application
    Filed: October 12, 2012
    Publication date: August 28, 2014
    Inventors: Ryuichi Sato, Yohei Maruyama, Atsushi Koike
  • Patent number: 8808573
    Abstract: The present invention provides an acidic aqueous polishing composition suitable for polishing a silicon nitride-containing substrate in a chemical-mechanical polishing (CMP) process. The composition, at point of use, comprises about 0.01 to about 2 percent by weight of a particulate calcined ceria abrasive, about 10 to about 1000 ppm of at least one cationic polymer, optionally, about 10 to about 2000 ppm of a polyoxyalkylene polymer; and an aqueous carrier therefor. The at least one cationic polymer is selected from a poly(vinylpyridine) polymer and a combination of a poly(vinylpyridine) polymer and a quaternary ammonium-substituted polymer. Methods of polishing substrates and of selectively removing silicon nitride from a substrate in preference to removal of polysilicon using the compositions are also provided.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: August 19, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventor: William Ward
  • Patent number: 8801958
    Abstract: A titanium etchant composition and a method of forming a semiconductor device using the same, the titanium etchant composition including a titanium remover; a corrosion inhibitor; and a deionized water; wherein the corrosion inhibitor includes 5-aminotetrazole.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: August 12, 2014
    Assignees: Samsung Electronics Co., Ltd., Samyoung Pure Chemicals Co., Ltd.
    Inventors: Dong-Min Kang, Heon jin Park, Kyoochul Cho, Baiksoon Choi, Seunghyun Ahn, Jeong Kwon, JungIg Jeon
  • Patent number: 8801959
    Abstract: A stable, concentratable silicon wafer polishing composition for polishing silicon wafers is provided, containing: water; an abrasive; a cation according to formula (I); piperazine or a piperazine derivative according to formula (II); and, optionally, a pH adjusting agent; wherein the polishing composition exhibits a silicon removal rate of at least 300 nm/min. Also provided are methods of making and using the stabilized, concentratable chemical mechanical polishing composition.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: August 12, 2014
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Naresh Kumar Penta, Lee Melbourne Cook
  • Publication number: 20140220779
    Abstract: The disclosure provides polishing compositions that show a high polishing rate ratio of a silicon nitride (SiN) surface to a silicon oxide surface, and/or of a SiN surface to a polycrystalline silicon (Poly Si) surface. Such compositions comprise, in certain aspects, of colloidal silica, and one or more water soluble polymers, and has a pH of 6 or less, wherein the colloidal silica comprises one or more organic acids bound to its surface, and the water soluble polymer is a polyoxyalkylene hydrocarbyl ether which hydrocarbyl moiety has 12 or more carbon atoms.
    Type: Application
    Filed: January 31, 2014
    Publication date: August 7, 2014
    Inventors: Hooi-Sung Kim, Anne Miller
  • Publication number: 20140220299
    Abstract: The present invention relates to a single-crystal silicon-carbide substrate provided with a principal surface having an atomic step-and-terrace structure containing atomic steps and terraces derived from a crystal structure, in which the atomic step-and-terrace structure has a proportion of an average line roughness of a front edge portion of the atomic step to a height of the atomic step being 20% or less.
    Type: Application
    Filed: April 7, 2014
    Publication date: August 7, 2014
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Iori YOSHIDA, Satoshi Takemiya, Hiroyuki Tomonaga
  • Patent number: 8795549
    Abstract: The present invention relates to novel printable etching media having improved properties for use in the process for the production of solar cells. These are corresponding particle-containing compositions by means of which extremely fine lines and structures can be etched very selectively without damaging or attacking adjacent areas.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: August 5, 2014
    Assignee: Merck Patent GmbH
    Inventors: Werner Stockum, Armin Kuebelbeck
  • Patent number: 8795548
    Abstract: A chemical mechanical polishing composition for polishing silicon wafers is provided, containing: water; a cation according to formula (I); piperazine or a piperazine derivative according to formula (II); and, optionally, a pH adjusting agent; wherein the polishing composition exhibits a silicon removal rate of at least 300 nm/min. Also provided are methods of making and using the chemical mechanical polishing composition.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: August 5, 2014
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Naresh Kumar Penta, Lee Melbourne Cook
  • Publication number: 20140209566
    Abstract: The invention provides a chemical-mechanical polishing composition and a method of chemically-mechanically polishing a substrate with the chemical-mechanical polishing composition. The polishing composition comprises (a) abrasive particles, wherein the abrasive particles comprise zirconia, (b) at least one metal ion oxidizer, wherein the at least one metal ion oxidizer comprises metal ions of Co3+, Au+, Ag+, Pt2+, Hg2+, Cr3+, Fe3+, Ce4+, or Cu2+, and (c) an aqueous carrier, wherein the pH of the chemical-mechanical polishing composition is in the range of about 1 to about 7, and wherein the chemical-mechanical polishing composition does not contain a peroxy-type oxidizer.
    Type: Application
    Filed: January 30, 2013
    Publication date: July 31, 2014
    Applicant: CABOT MICROELECTRONICS CORPORATION
    Inventors: Lin Fu, Steven Grumbine, Matthias Stender
  • Publication number: 20140213057
    Abstract: A chemical mechanical polishing (CMP) composition comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) a glycoside of the formulae 1 to 6 wherein R1 is alkyl, aryl, or alkylaryl, R2 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, R3 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, R4 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, R5 is H, X1, X2, X3, X4, X5, X6, alkyl, aryl, or alkylaryl, and the total number of monosaccharide units (X1, X2, X3, X4, X5, or X6) in the glycoside is in the range of from 1 to 20, and (C) an aqueous medium.
    Type: Application
    Filed: September 4, 2012
    Publication date: July 31, 2014
    Applicant: BASF SE
    Inventor: Ning GAO
  • Publication number: 20140202987
    Abstract: To provide an etchant for copper oxide, control of the etching rate, and etching method using the same for enabling exposed portions to be selectively etched against unexposed portions in the case of performing exposure with laser light using an oxide of copper as a heat-reactive resist material, an etchant of the invention is an etchant for copper oxide to selectively remove a copper oxide of a particular valence from a copper oxide-containing layer containing copper oxides of different valences, and is characterized by containing at least an amino acid, a chelating agent and water, where a weight percentage of the amino acid is higher than that of the chelating agent, and pH thereof is 3.5 or more.
    Type: Application
    Filed: June 27, 2012
    Publication date: July 24, 2014
    Applicant: ASAHI KASEI E-MATERIALS CORPORATION
    Inventors: Takuto Nakata, Norikiyo Nakagawa
  • Publication number: 20140206191
    Abstract: A system and method for manufacturing semiconductor devices is provided. An embodiment comprises using an etchant to remove a portion of a substrate to form an opening with a 45° angle with a major surface of the substrate. The etchant comprises a base, a surfactant, and an oxidant. The oxidant may be hydrogen peroxide.
    Type: Application
    Filed: January 24, 2013
    Publication date: July 24, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Publication number: 20140197356
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition suitable for polishing a silicon nitride-containing substrate while suppressing polysilicon removal from the substrate. The composition comprises abrasive particles suspended in an acidic aqueous carrier containing a surfactant comprising an alkyne-diol, an alkyne diol ethoxylate, or a combination thereof. Methods of polishing a semiconductor substrate therewith are also disclosed.
    Type: Application
    Filed: March 18, 2014
    Publication date: July 17, 2014
    Inventors: Kevin MOEGGENBORG, William Ward, Ming-Shih Tsai, Francesco De Rege Thesauro
  • Publication number: 20140199842
    Abstract: In one aspect, a substrate chemical mechanical polishing (CMP) method for substrates is disclosed. The CMP method includes providing a substrate having a surface of silicon and copper such as through silicon via regions containing copper, and polishing the surface with a slurry containing very small silicon nanoparticles (e.g., having an average diameter less than 8 nanometers). CMP systems and slurries for CMP are provided, as are numerous other aspects.
    Type: Application
    Filed: December 30, 2013
    Publication date: July 17, 2014
    Inventors: Vishwas V. Hardikar, Zhihong Wang, David Maxwell Gage, Thomas E. Gartner, III
  • Patent number: 8778212
    Abstract: The invention provides a chemical-mechanical polishing composition containing zirconia particles, a modifying agent that adheres to the zirconia particles, an organic acid, and water, as well as a method of using such a polishing composition to polish substrates and a method of using a polishing composition comprising zirconia particles, an organic acid, an oxidizing agent, and water to polishing substrates containing metal and oxide-based dielectric materials.
    Type: Grant
    Filed: May 22, 2012
    Date of Patent: July 15, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Wiechang Jin, John Parker, Elizabeth Remsen
  • Patent number: 8778217
    Abstract: Disclosed is a polishing slurry for CMP which makes it possible to polish a barrier layer, a wiring metal layer and an interlayer dielectric continuously, and restrain a phenomenon that the interlayer dielectric in a region near the wiring metal layer is excessively shaven off so that a depression is generated. A polishing slurry, for CMP, containing abrasive particles, an acid, a tolyltriazole compound represented by the following general formula (I), and water: wherein R1s each independently represent an alkylene group having 1 to 4 carbon atoms, and R2 represents an alkylene group having 1 to 4 carbon atoms.
    Type: Grant
    Filed: July 4, 2007
    Date of Patent: July 15, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventor: Tadahiro Kimura
  • Patent number: 8778210
    Abstract: Compositions useful for the selective removal of silicon nitride materials relative to poly-silicon, silicon oxide materials and/or silicide materials from a microelectronic device having same thereon. The removal compositions include fluorosilicic acid, silicic acid, and at least one organic solvent. Typical process temperatures are less than about 100° C. and typical selectivity for nitride versus oxide etch is about 200:1 to about 2000:1. Under typical process conditions, nickel-based silicides as well as titanium and tantalum nitrides are largely unaffected, and polysilicon etch rates are less than about 1 ? min?1.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: July 15, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Emanuel I. Cooper, Eileen R. Sparks, William R. Bowers, Mark A. Biscotto, Kevin P. Yanders, Michael B. Korzenski, Prerna Sonthalia, Nicole E. Thomas
  • Patent number: 8778211
    Abstract: The present invention provides chemical-mechanical polishing (CMP) compositions suitable for polishing a substrate comprising a germanium-antimony-tellurium (GST) alloy. The CMP compositions of the present invention are aqueous slurries comprising a particulate abrasive, a water-soluble surface active agent, a complexing agent, and a corrosion inhibitor. The ionic character of the surface active material (e.g., cationic, anionic, or nonionic) is selected based on the zeta potential of the particulate abrasive. A CMP method for polishing a GST alloy-containing substrate utilizing the composition is also disclosed.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: July 15, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Matthias Stender, Glenn Whitener, Chul Woo Nam
  • Patent number: 8779011
    Abstract: A method for producing and using an ultrapure colloidal silica dispersion is disclosed. The ultrapure colloidal silica dispersion has less than 200 ppb of each trace metal impurity disposed therein, excluding potassium and sodium, and less than 2 ppm residual alcohol. The method comprises dissolving a fumed silica in an aqueous solvent comprising an alkali metal hydroxide to produce an alkaline silicate solution, removing the alkali metal via ion exchange to generate a silicic acid solution, adjusting temperature, concentration and pH of said silicic acid solution to values sufficient to initiate nucleation and particle growth, and cooling the silicic acid solution at a rate sufficient to produce the colloidal silica dispersion.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: July 15, 2014
    Assignee: Fujifilm Planar Solutions, LLC
    Inventors: Deepak Mahulikar, Yuhu Wang, Ken A. Delbridge, Gert R. M. Moyaerts, Saeed H. Mohseni, Nichole R. Koontz, Bin Hu, Liqing Wen
  • Publication number: 20140191155
    Abstract: The invention provides a polishing composition comprising silica, an aminophosphonic acid, a polysaccharide, a tetraalkylammonium salt, a bicarbonate salt, an azole ring, and water, wherein the polishing composition has a pH of about 7 to about 11. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Application
    Filed: March 13, 2014
    Publication date: July 10, 2014
    Inventors: Brian REISS, Timothy JOHNS, Michael WHITE, Lamon JONES, John CLARK
  • Publication number: 20140193945
    Abstract: Disclosed herein is an aqueous alkaline etching solution comprising water and an alkaline material being selected from the group consisting of ammonium hydroxide, ammonium phosphate, ammonium carbonate, quaternary ammonium hydroxide, quaternary ammonium phosphate, quaternary ammonium carbonate, an alkali metal hydroxide, an alkaline earth metal hydroxide, or a combination comprising at least one of the foregoing alkaline materials; the aqueous alkaline solution being operative to etch aluminum oxide at a rate greater than or equal to about 2:1 over a rate at which it etches a metal oxide semiconductor to be protected; wherein the aqueous etching solution has a pH of 8 to 13.
    Type: Application
    Filed: December 31, 2012
    Publication date: July 10, 2014
    Inventors: Yuanyuan Li, Kaige Sun, Thomas N. Jackson
  • Patent number: 8771531
    Abstract: A substrate for a liquid ejection head, including: forming a sacrifice layer on a first surface of a silicon substrate in a region in which a liquid supply port is to open, the sacrifice layer containing aluminum which is selectively etched with respect to the silicon substrate; forming an etching mask on a second surface which is a rear surface of the first surface of the silicon substrate, the etching mask having an opening corresponding to the sacrifice layer; a first etching step of etching the silicon substrate by using the etching mask as a mask and by using a first etchant containing 8 mass % or more and less than 15 mass % of tetramethylammonium hydroxide; and after the first etching step, a second etching step of removing the sacrifice layer by using a second etchant containing 15 mass % or more and 25 mass % or less of tetramethylammonium hydroxide.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: July 8, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenta Furusawa, Shuji Koyama, Hiroyuki Abo, Taichi Yonemoto
  • Patent number: 8771540
    Abstract: The present disclosure provides a concentrate for use in chemical mechanical polishing slurries, and a method of diluting that concentrate to a point of use slurry. The concentrate comprises abrasive, complexing agent, and corrosion inhibitor, and the concentrate is diluted with water and oxidizer. These components are present in amounts such that the concentrate can be diluted at very high dilution ratios, without affecting the polishing performance.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: July 8, 2014
    Assignee: Fujifilm Planar Solutions, LLC
    Inventors: Hyungjun Kim, Richard Wen, Bin Hu, Minae Tanaka, Deepak Mahulikar