Field Effect Device Patents (Class 257/24)
  • Patent number: 9666492
    Abstract: Architectures and techniques for co-integration of heterogeneous materials, such as group III-V semiconductor materials and group IV semiconductors (e.g., Ge) on a same substrate (e.g. silicon). In embodiments, multi-layer heterogeneous semiconductor material stacks having alternating nanowire and sacrificial layers are employed to release nanowires and permit formation of a coaxial gate structure that completely surrounds a channel region of the nanowire transistor. In embodiments, individual PMOS and NMOS channel semiconductor materials are co-integrated with a starting substrate having a blanket layers of alternating Ge/III-V layers. In embodiments, vertical integration of a plurality of stacked nanowires within an individual PMOS and individual NMOS device enable significant drive current for a given layout area.
    Type: Grant
    Filed: July 13, 2015
    Date of Patent: May 30, 2017
    Assignee: Intel Corporation
    Inventors: Marko Radosavljevic, Ravi Pillarisetty, Gilbert Dewey, Niloy Mukherjee, Jack Kavalieros, Willy Rachmady, Van Le, Benjamin Chu-Kung, Matthew Metz, Robert Chau
  • Patent number: 9653537
    Abstract: Embodiments are directed to a method of forming a semiconductor device and resulting structures for controlling a threshold voltage on a nanosheet-based transistor. A nanosheet stack is formed over a substrate. The nanosheet stack includes a first nanosheet vertically stacked over a second nanosheet. A tri-layer gate metal stack is formed on each nanosheet. The tri-layer gate metal stack includes an inner nitride layer formed on a surface of each nanosheet, a doped transition metal layer formed on each inner nitride layer, and an outer nitride layer formed on each doped transition metal layer.
    Type: Grant
    Filed: September 26, 2016
    Date of Patent: May 16, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hemanth Jagannathan, Paul C. Jamison
  • Patent number: 9653290
    Abstract: A method for manufacturing a nanowire transistor device includes the following steps: A substrate is provided, and the substrate includes a plurality of nanowires suspended thereon. Each of the nanowires includes a first semiconductor core. Next, a first selective epitaxial growth process is performed to form second semiconductor cores respectively surrounding the first semiconductor cores. The second semiconductor cores are spaced apart from the substrate. After forming the second semiconductor core, a gate is formed on the substrate.
    Type: Grant
    Filed: December 13, 2016
    Date of Patent: May 16, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Li-Wei Feng, Shih-Hung Tsai, Shih-Fang Hong, Chao-Hung Lin, Jyh-Shyang Jenq
  • Patent number: 9643179
    Abstract: Techniques for fabricating horizontally aligned nanochannels are provided. In one aspect, a method of forming a device having nanochannels is provided. The method includes: providing a SOI wafer having a SOI layer on a buried insulator; forming at least one nanowire and pads in the SOI layer, wherein the nanowire is attached at opposite ends thereof to the pads, and wherein the nanowire is suspended over the buried insulator; forming a mask over the pads, the mask having a gap therein where the nanowire is exposed between the pads; forming an alternating series of metal layers and insulator layers alongside one another within the gap and surrounding the nanowire; and removing the nanowire to form at least one of the nanochannels in the alternating series of the metal layers and insulator layers. A device having nanochannels is also provided.
    Type: Grant
    Filed: June 24, 2016
    Date of Patent: May 9, 2017
    Assignee: International Business Machines Corporation
    Inventors: Sebastian U. Engelmann, Stephen M. Rossnagel, Ying Zhang
  • Patent number: 9640622
    Abstract: A first III-V material based buffer layer is deposited on a silicon substrate. A second III-V material based buffer layer is deposited onto the first III-V material based buffer layer. A III-V material based device channel layer is deposited on the second III-V material based buffer layer.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: May 2, 2017
    Assignee: Intel Corporation
    Inventors: Niti Goel, Gilbert Dewey, Niloy Mukherjee, Matthew V. Metz, Marko Radosavljevic, Benjamin Chu-Kung, Jack T. Kavalieros, Robert S. Chau
  • Patent number: 9634133
    Abstract: Embodiments provide a quantum well device and the method for forming this device with high mobility and higher punch through voltages. For forming the quantum well device, a buffer layer can be formed on a patterned substrate of a quantum well device. A fin-like structure can be formed through an etching process performed to the buffer layer. A quantum well layer, a barrier layer, a cover layer and a dielectric layer can be successively deposited on the buffer layer and surface of the fin-like structure. A metal layer can then be formed on the surface of the said dielectric layer. Metal gate electrode and gate dielectric layer can be formed on the metal layer and dielectric layer. The cover layer, the barrier layer and the quantum well can then be etched to form recessed source and drain regions. Such a quantum well device can have better performance and reliability.
    Type: Grant
    Filed: March 11, 2016
    Date of Patent: April 25, 2017
    Assignee: ZING SEMICONDUCTOR CORPORATION
    Inventor: Deyuan Xiao
  • Patent number: 9620633
    Abstract: The present disclosure provides a quantum well fin field effect transistor (QWFinFET). The QWFinFET includes a semiconductor fin over a substrate and a combo quantum well (QW) structure over the semiconductor fin. The combo QW structure includes a QW structure over a top portion of the semiconductor fin and a middle portion of the semiconductor fin. The semiconductor fin and the QW comprise different semiconductor materials. The QWFinFET also includes a gate stack over the combo QW structure.
    Type: Grant
    Filed: February 15, 2016
    Date of Patent: April 11, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lien Huang, Chun-Hsiang Fan, Yung-Ta Li
  • Patent number: 9601342
    Abstract: A device includes a substrate and insulation regions over a portion of the substrate. A first semiconductor region is between the insulation regions and having a first conduction band. A second semiconductor region is over and adjoining the first semiconductor region, wherein the second semiconductor region includes an upper portion higher than top surfaces of the insulation regions to form a semiconductor fin. The second semiconductor region also includes a wide portion and a narrow portion over the wide portion, wherein the narrow portion is narrower than the wide portion. The semiconductor fin has a tensile strain and has a second conduction band lower than the first conduction band. A third semiconductor region is over and adjoining a top surface and sidewalls of the semiconductor fin, wherein the third semiconductor region has a third conduction band higher than the second conduction band.
    Type: Grant
    Filed: September 4, 2015
    Date of Patent: March 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Jing Lee, Chi-Wen Liu, Cheng-Hsien Wu, Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 9595685
    Abstract: The present invention generally relates to nanotechnology, including field effect transistors and other devices used as sensors (for example, for electrophysiological studies), nanotube structures, and applications. Certain aspects of the present invention are generally directed to transistors such as field effect transistors, and other similar devices. In one set of embodiments, a field effect transistor is used where a nanoscale wire, for example, a silicon nanowire, acts as a transistor channel connecting a source electrode to a drain electrode. In some cases, a portion of the transistor channel is exposed to an environment that is to be determined, for example, the interior or cytosol of a cell. A nanotube or other suitable fluidic channel may be extended from the transistor channel into a suitable environment, such as a contained environment within a cell, so that the environment is in electrical communication with the transistor channel via the fluidic channel.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: March 14, 2017
    Assignee: President and Fellows of Harvard College
    Inventors: Charles M. Lieber, Xiaojie Duan, Ruixuan Gao, Ping Xie, Xiaocheng Jiang
  • Patent number: 9589793
    Abstract: Described herein are semiconductor structures comprising laterally varying II-VI alloy layer formed over a surface of a substrate. Further, methods are provided for preparing laterally varying II-VI alloy layers over at least a portion of a surface of a substrate comprising contacting at least a portion of a surface of a substrate within a reaction zone with a chemical vapor under suitable reaction conditions to form a laterally varying II-VI alloy layer over the portion of the surface of the substrate, wherein the chemical vapor is generated by heating at least two II-VI binary compounds; and the reaction zone has a temperature gradient of at least 50-100° C. along an extent of the reaction zone. Also described here are devices such as lasers, light emitting diodes, detectors, or solar cells that can use such semiconductor structures.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: March 7, 2017
    Assignee: Arizona Board of Regents, A Body Corporate Acting For And On Behalf of Arizona State University
    Inventors: Cun-Zheng Ning, Anlian Pan
  • Patent number: 9590044
    Abstract: In various embodiments, an electronic component is provided. The electronic component may include a dielectric structure; and a two-dimensional material containing structure over the dielectric structure. The dielectric structure is doped with dopants to change the electric characteristic of the two-dimensional material containing structure.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: March 7, 2017
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Guenther Ruhl, Wolfgang Lehnert, Rudolf Berger
  • Patent number: 9583583
    Abstract: A semiconductor device has gate-all-around devices formed in respective regions on a substrate. The gate-all-around devices have nanowires at different levels. The threshold voltage of a gate-all-around device in first region is based on a thickness of an active layer in an adjacent second region. The active layer in the second region may be at substantially a same level as the nanowire in the first region. Thus, the nanowire in the first region may have a thickness based on the thickness of the active layer in the second region, or the thicknesses may be different. When more than one active layer is included, nanowires in different ones of the regions may be disposed at different heights and/or may have different thicknesses.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: February 28, 2017
    Assignees: Samsung Electronics Co., Ltd., Seoul National University R & DB Foundation
    Inventors: Min-Chul Sun, Byung-Gook Park
  • Patent number: 9576102
    Abstract: Embodiments of the present invention provide efficient systems and methods for creating an optimal set of partitions across replica blocks using two checkpoints during the design process. The two checkpoints group a set of macros according to a timing constraint and a location proximity to the other macros. Clustering of the macros is iteratively performed until a distance parameter exceeds a pre-defined threshold.
    Type: Grant
    Filed: April 8, 2016
    Date of Patent: February 21, 2017
    Assignee: International Business Machines Corporation
    Inventors: Chithra Ravindranath, Sourav Saha, Rajashree Srinidhi
  • Patent number: 9570609
    Abstract: A field effect transistor includes a body layer having a strained crystalline semiconductor channel region, and a gate stack on the channel region. The gate stack includes a crystalline semiconductor gate layer that is lattice mismatched with the channel region, and a crystalline gate dielectric layer between the gate layer and the channel region. Related devices and fabrication methods are also discussed.
    Type: Grant
    Filed: June 3, 2015
    Date of Patent: February 14, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Borna J. Obradovic, Robert C. Bowen, Mark S. Rodder
  • Patent number: 9564514
    Abstract: An approach to providing a barrier in a vertical field effect transistor with low effective mass channel materials wherein the forming of the barrier includes forming a first source/drain contact on a semiconductor substrate and forming a channel with a first channel layer on the first source/drain contact. The approach further includes forming the barrier on the first channel layer, and a second channel layer on the barrier followed by forming a second source/drain contact on the second channel layer.
    Type: Grant
    Filed: November 4, 2015
    Date of Patent: February 7, 2017
    Assignee: International Business Machines Corporation
    Inventors: Anirban Basu, Amlan Majumdar, Jeffrey W. Sleight
  • Patent number: 9553307
    Abstract: A negative active material includes a conductive unit bound in island-like form to silicon-based nanowires on a carbonaceous base. Such negative active material may improve the electrical conductivity of the silicon-based nanowires, and suppress separation of the silicon-based nanowires caused from volume expansion, and thus may improve lifetime characteristics of a lithium battery.
    Type: Grant
    Filed: January 9, 2014
    Date of Patent: January 24, 2017
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Su-Kyung Lee, So-Ra Lee, Kyu-Nam Joo, Yu-Jeong Cho, Ui-Song Do, Chang-Su Shin, Ha-Na Yoo, Sang-Eun Park, Jae-Myung Kim
  • Patent number: 9543216
    Abstract: A trench contact epilayer in a semiconductor device is provided. Embodiments include forming trenches through an interlayer dielectric (ILD) over source/drain regions in NFET and PFET regions; depositing a conformal silicon nitride (SiN) layer over the ILD and in the trenches; removing the SiN layer in the PFET region; growing a germanium (Ge) epilayer over the source/drain regions in the PFET region; depositing metal over the ILD and in the trenches in the NFET and PFET regions; etching the metal in the NFET region to expose the conformal SiN layer; removing the SiN layer in the NFET region; growing a Group III-V epilayer over the source/drain regions in the NFET region; and depositing metal over the ILD and in the trenches in the NFET region.
    Type: Grant
    Filed: June 5, 2015
    Date of Patent: January 10, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hiroaki Niimi, Ruilong Xie
  • Patent number: 9536886
    Abstract: A semiconductor device includes a first diode connected transistor of a first conductivity type and a second diode connected transistor of a second conductivity type connected in series, each of the first and second diode connected transistors being configured to exhibit negative differential resistance in response to an applied voltage. The first drain and first source regions of the first diode connected transistor include dopants of the first conductivity type at degenerate dopant concentration levels and a gate of the first diode connected transistor has a work function that corresponds to that of the semiconductor containing dopants of the second conductivity type. The second drain and second source regions of the second diode connected transistor include dopants of the second conductivity type at degenerate dopant concentration levels and a gate of the second diode connected transistor has a work function that corresponds to that of the semiconductor containing dopants of the first conductivity type.
    Type: Grant
    Filed: February 17, 2016
    Date of Patent: January 3, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Christopher Bowen
  • Patent number: 9530841
    Abstract: A gate-all-around (GAA) nanowire field-effect transistor (FET) device includes a semiconductor substrate, a nanowire on the semiconductor substrate, a gate structure surrounding a central portion of the nanowire, a source/drain region on either side of the gate structure, and at least one dislocation plane in the source/drain region.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: December 27, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Po-Yu Yang
  • Patent number: 9525056
    Abstract: A vertical microelectronic component includes a semiconductor substrate having a front side and a back side, and a multiplicity of fins formed on the front side. Each fin has a side wall and an upper side and is separated from other fins by trenches. Each fin includes a GaN/AlGaN heterolayer region formed on the side wall and including a channel region extending essentially parallel to the side wall. Each fin includes a gate terminal region arranged above the GaN/AlGaN heterolayer region and electrically insulated from the channel region in the associated trench on the side wall. A common source terminal region arranged above the fins is connected to a first end of the channel region in a vicinity of the upper sides. A common drain terminal region arranged above the back side is connected to a second end of the channel region in a vicinity of the front side.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: December 20, 2016
    Assignee: Robert Bosch GmbH
    Inventors: Christoph Schelling, Walter Daves
  • Patent number: 9515184
    Abstract: Among other things, one or semiconductor arrangements, and techniques for forming such semiconductor arrangements are provided. An etch sequence is performed to form a first etched region over a planar region of a semiconductor arrangement. The first etched region exposes a planar structure, such as an alignment mark used for alignment during semiconductor fabrication. The etch sequence forms a second etched region over a semiconductor fin region of the semiconductor arrangement. In an embodiment, the etch sequence forms a first trench, a first fin nub and a first pillar in the semiconductor fin region, where the first trench is formed in a semiconductor substrate of the semiconductor fin region. A multi-depth STI structure is formed over at least one of the first trench, the first fin nub, or the first pillar.
    Type: Grant
    Filed: September 12, 2013
    Date of Patent: December 6, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Tsung-Yu Chiang, Chen Chu-Hsuan, Chen Kuang-Hsin, Hsin-Lung Chao
  • Patent number: 9508834
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having a fin-shaped structure thereon and a shallow trench isolation (STI) around the fin-shaped structure, wherein the fin-shaped structure comprises a top portion and a bottom portion; removing part of the STI to expose the top portion of the fin-shaped structure; and performing an oxidation process on the exposed top portion of the fin-shaped structure to divide the top portion into a first top portion and a second top portion while forming an oxide layer around the first top portion.
    Type: Grant
    Filed: October 1, 2015
    Date of Patent: November 29, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Hao-Ming Lee, Sheng-Hao Lin, Huai-Tzu Chiang
  • Patent number: 9502540
    Abstract: A method of making a semiconductor device includes forming a first fin in a first semiconducting material layer disposed over a substrate, the first semiconducting material layer comprising an element in a first concentration; and forming a second fin in a second semiconducting material layer disposed over the substrate and adjacent to the first semiconducting material layer, the second semiconducting material layer comprising the element in a second concentration; wherein the first concentration is different than the second concentration.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: November 22, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen W. Bedell, Bruce B. Doris, Keith E. Fogel, Alexander Reznicek
  • Patent number: 9496185
    Abstract: Fabricating a semiconductor device includes providing a strained semiconductor material (SSM) layer disposed on a dielectric layer, forming a first plurality of fins on the SSOI structure, at least one fin of the first plurality of fins is in a nFET region and at least one fin is in a pFET region, etching portions of the dielectric layer under portions of the SSM layer of the at least one fin in the pFET region, filling areas cleared by the etching, forming a second plurality of fins from the at least one fin in the nFET region such that each fin comprises a portion of the SSM layer disposed on the dielectric layer, and forming a third plurality of fins from the at least one fin in the pFET region such that each fin comprises a portion of the SSM layer disposed on a flowable oxide.
    Type: Grant
    Filed: March 27, 2015
    Date of Patent: November 15, 2016
    Assignees: International Business Machines Corporation, Globalfoundries, Inc., STMicroelectronics, Inc.
    Inventors: Xiuyu Cai, Qing Liu, Ruilong Xie, Chun-Chen Yeh
  • Patent number: 9490335
    Abstract: A method for forming semiconductor devices includes forming a highly doped region. A stack of alternating layers is formed on the substrate. The stack is patterned to form nanosheet structures. A dummy gate structure is formed over and between the nanosheet structures. An interlevel dielectric layer is formed. The dummy gate structures are removed. SG regions are blocked, and top sheets are removed from the nanosheet structures along the dummy gate trench. A bottommost sheet is released and forms a channel for a field effect transistor device by etching away the highly doped region under the nanosheet structure and layers in contact with the bottommost sheet. A gate structure is formed in and over the dummy gate trench wherein the bottommost sheet forms a device channel for the EG device.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: November 8, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Bruce B. Doris, Terence B. Hook, Junli Wang
  • Patent number: 9490395
    Abstract: A nanostructure semiconductor light-emitting device includes a base layer formed of a first conductivity-type semiconductor, a first material layer disposed on the base layer and including a plurality of openings, a plurality of light-emitting nanostructures, each of which extends through each of the plurality of openings and includes a nanocore formed of a first conductivity-type semiconductor, an active layer and a second conductivity-type semiconductor shell layer, sequentially disposed on the nanocore, a filling layer disposed on the first material layer, wherein the filling layer fills spaces between the plurality of light-emitting nanostructures and a portion of each of the plurality of light-emitting nanostructures is exposed by the filling layer, a second conductivity-type semiconductor extension layer disposed on the filling layer and covering the exposed portion of each of the plurality of light-emitting nanostructures, and a contact electrode layer disposed on the second conductivity-type semicondu
    Type: Grant
    Filed: February 24, 2015
    Date of Patent: November 8, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyung Wook Hwang, Jung Sung Kim, Nam Goo Cha
  • Patent number: 9490300
    Abstract: A method for forming a semiconductor device includes providing a substrate structure, which includes a carbon nanotube supported by two support structures on a substrate. The carbon nanotube includes a first portion and a second portion having different conductivity types. A multi-layer film structure is formed surrounding the carbon nanotube, the multi-layer film structure including a conductive material layer sandwiched between two dielectric layers. A plurality of first electrodes are formed surrounding the multi-layer film structure surrounding a channel region of the first portion, and a plurality of second electrodes are formed surrounding the multi-layer film structure surrounding a channel region of the second portion. A third electrode is formed to contact one end of the carbon nanotube, and a fourth electrode is formed to contact the other end of the carbon nanotube. A fifth electrode is formed and coupled to a center portion of the carbon nanotube.
    Type: Grant
    Filed: December 28, 2015
    Date of Patent: November 8, 2016
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Deyuan Xiao
  • Patent number: 9484405
    Abstract: A method for manufacturing a semiconductor device comprises depositing alternating layers of a plurality of first dielectric layers and a plurality of second dielectric layers on a substrate in a stacked configuration, forming one or more first openings in the stacked configuration to a depth penetrating below an upper surface of a bottom second dielectric layer of the plurality of second dielectric layers, forming one or more second openings in the stacked configuration to a depth corresponding to an upper surface of the substrate or below an upper surface of the substrate, removing the plurality of second dielectric layers from the stacked configuration to form a plurality of gaps, and epitaxially growing a semiconductor material from a seed layer in the one or more second openings to fill the one or more first and second openings and the plurality of gaps, wherein defects caused by a lattice mismatch between the epitaxially grown semiconductor material and a material of the substrate are contained at a bot
    Type: Grant
    Filed: September 29, 2015
    Date of Patent: November 1, 2016
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek
  • Patent number: 9484423
    Abstract: A field effect transistor includes a body layer comprising a crystalline semiconductor channel region therein, and a gate stack on the channel region. The gate stack includes a crystalline semiconductor gate layer, and a crystalline semiconductor gate dielectric layer between the gate layer and the channel region. Related devices and fabrication methods are also discussed.
    Type: Grant
    Filed: May 6, 2014
    Date of Patent: November 1, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Borna J. Obradovic, Jorge A. Kittl, Mark. S. Rodder
  • Patent number: 9478663
    Abstract: A method includes forming a fin on a semiconductor substrate and forming recesses on sidewalls of the fin. A silicon alloy material is formed in the recesses. A thermal process is performed to define a silicon alloy fin portion from the silicon alloy material and the fin. A semiconductor device includes a substrate, a fin defined on the substrate and an isolation structure disposed adjacent the fin. A first portion of the fin extending above the isolation structure has a substantially vertical sidewall and a different material composition than a second portion of the fin not extending above the isolation structure.
    Type: Grant
    Filed: October 29, 2014
    Date of Patent: October 25, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ajey Poovannummoottil Jacob, Jody A. Fronheiser, Yi Qi, Sylvie Mignot
  • Patent number: 9478641
    Abstract: Disclosed herein is a method for fabricating a FinFET with separated double gates on a bulk silicon, comprising: forming a pattern for a source, a drain and a thin bar connecting the source and the drain; forming an oxidation isolation layer; forming a gate structure and a source/drain structure; and forming a metal contact and a metal interconnection. By means of the method herein, it is very easy to fabricate the FinFET with separated double gates on the bulk silicon wafer, and the overall process flow is completely compatible with the conventional silicon-based very large scale integrated circuit manufacturing technology. Thus, the method herein is simple, convenient and has a short process period, greatly economizing the cost of the silicon wafer. In addition, by employing the FinFET with separated double gates fabricated by the method according to the invention, the short channel effect can be effectively suppressed.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: October 25, 2016
    Assignee: PEKING UNIVERSITY
    Inventors: Ru Huang, Jiewen Fan, Xiaoyan Xu, Jia Li, Runsheng Wang
  • Patent number: 9472658
    Abstract: A method for fabricating a III-V nanowire. The method may include providing a semiconductor substrate, which includes an insulator, with a wide-bandgap layer on the top surface of the semiconductor substrate; etching the insulator to suspend the wide-bandgap layer; growing a compositionally-graded channel shell over the wide-bandgap layer; forming a gate structure forming spacers on the sidewalls of the gate structure; and forming a doped raised source drain region adjacent to the spacers.
    Type: Grant
    Filed: December 8, 2015
    Date of Patent: October 18, 2016
    Assignee: International Business Machines Corporation
    Inventors: Anirban Basu, Guy M. Cohen, Amlan Majumdar, Jeffrey W. Sleight
  • Patent number: 9461113
    Abstract: Semiconductor arrangements and methods for manufacturing the same. The arrangement may include: a substrate; a back gate formed on the substrate; at least one pair of nanowires disposed on opposite sides of the back gate; and back gate dielectric layers interposed between the back gate and the respective nanowires.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: October 4, 2016
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventor: Huilong Zhu
  • Patent number: 9455320
    Abstract: A device includes a substrate and insulation regions over a portion of the substrate. A first semiconductor region is between the insulation regions and having a first conduction band. A second semiconductor region is over and adjoining the first semiconductor region, wherein the second semiconductor region includes an upper portion higher than top surfaces of the insulation regions to form a semiconductor fin. The semiconductor fin has a tensile strain and has a second conduction band lower than the first conduction band. A third semiconductor region is over and adjoining a top surface and sidewalls of the semiconductor fin, wherein the third semiconductor region has a third conduction band higher than the second conduction band.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: September 27, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Jing Lee, Cheng-Hsien Wu, Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 9437502
    Abstract: A first sacrificial gate structure is formed over a first fin stack and a second sacrificial gate structure is formed over a second fin stack. The first and second fin stacks include alternating layers of a III-V compound semiconductor material portion and a germanium material portion. Source/drain structures are formed adjacent the first and second sacrificial gate structures. The first sacrificial structure is removed to provide a first gate cavity. Exposed III-V compound semiconductor portions in the first gate cavity are removed to suspend a portion of each germanium material portion. A first functional gate structure is formed in the first gate cavity. The second sacrificial structure is removed to provide a second gate cavity. Exposed germanium material portions are removed to suspend a portion of each III-V compound semiconductor material portion of the second fin stack. A second functional gate structure is formed in the second gate cavity.
    Type: Grant
    Filed: June 12, 2015
    Date of Patent: September 6, 2016
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Pouya Hashemi, Ali Khakifirooz, Alexander Reznicek
  • Patent number: 9431482
    Abstract: The present invention provides some methods for forming at least two different nanowire structures with different diameters on one substrate. Since the diameter of the nanowire structure will influence the threshold voltage (Vt) and the drive currents of a nanowire field effect transistor, in this invention, at least two nanowire structures with different diameters can be formed on one substrate. Therefore, in the following steps, these nanowire structures can be applied in different nanowire field effect transistors with different Vt and drive currents. This way, the flexibility of the nanowire field effect transistors can be improved.
    Type: Grant
    Filed: February 4, 2015
    Date of Patent: August 30, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Hsin-Yu Chen, Sheng-Hao Lin, Huai-Tzu Chiang, Hao-Ming Lee
  • Patent number: 9419115
    Abstract: Embodiments of the present disclosure provide an integrated circuit (IC) structure, which can include: a doped semiconductor layer having a substantially uniform doping profile; a first gate structure positioned on the doped semiconductor layer; and a second gate structure positioned on the doped semiconductor layer, the second gate structure including a metal-insulator transition material and a gate dielectric layer separating the metal-insulator transition material from the doped semiconductor layer.
    Type: Grant
    Filed: October 6, 2015
    Date of Patent: August 16, 2016
    Assignee: International Business Machines Corporation
    Inventors: Mohit Bajaj, Suresh Gundapaneni, Aniruddha Konar, Kota V. R. M. Murali, Edward J. Nowak
  • Patent number: 9412836
    Abstract: The present disclosure relates to a semiconductor device having a delta doped sheet layer within a transistor's source/drain region to reduce contact resistance, and an associated method. In some embodiments, a dielectric layer is disposed over the transistor. A trench is disposed through the dielectric layer to the source/drain region and a conductive contact is disposed in the trench. The source/drain region comprises a delta doped sheet layer with a doping concentration that is higher than rest of the source/drain region.
    Type: Grant
    Filed: March 6, 2014
    Date of Patent: August 9, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Li-Ting Wang, Teng-Chun Tsai, Cheng-Tung Lin, Hung-Ta Lin, Huicheng Chang
  • Patent number: 9347911
    Abstract: A fluid sensor chip includes an isolator substrate including amorphous carbon, an electrical conductor including graphite and an active material including graphene or carbon nanotubes.
    Type: Grant
    Filed: December 30, 2013
    Date of Patent: May 24, 2016
    Assignee: Infineon Technologies AG
    Inventors: Guenther Ruhl, Florian Bachl
  • Patent number: 9343376
    Abstract: A method of fabricating a semiconductor device includes following steps. First of all, a first nanowire structure and a second nanowire structure are formed on a substrate. Next, a compressive stress layer is formed on the first nanowire structure, and the first nanowire structure is driven to a compressive nanowire structure. Then, a tensile stress layer is formed on the second nanowire structure, and the second nanowire structure is driven into a tensile nanowire structure.
    Type: Grant
    Filed: October 1, 2015
    Date of Patent: May 17, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Po-Yu Yang
  • Patent number: 9343601
    Abstract: Provided is a photodetector including a graphene p-n homogeneous vertical-junction diode by evaluating photodetection characteristics of the manufactured graphene p-n vertical junction according to the amount of doping. The photodetector comprises a substrate and graphene having a p-n homogeneous vertical junction as a photodetection layer formed on the substrate, wherein the photodetection layer has a detectability of 10E11 (Jones) or higher within the range of 350 nm to 1100 nm, and first and second electrodes are formed on the photodetection layer.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: May 17, 2016
    Assignee: UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY
    Inventors: Suk Ho Choi, Sung Kim, Chang Oh Kim
  • Patent number: 9318583
    Abstract: A vertical tunneling field effect transistor (TFET) and method for forming a vertical tunneling field effect transistor (TFET) is disclosed. The vertical tunneling field effect transistor TFET comprises a vertical core region, a vertical source region, a vertical drain region and a gate structure. The vertical core region is extending perpendicularly from a semiconductor substrate, having a top surface, consisting of a doped outer part and a middle part. The vertical source region of semiconducting core material comprises the doped outer part of the vertical core region. The vertical drain region of semiconducting drain material comprises along its longitudinal direction a first drain part and a second drain part, the first drain part either directly surrounding said vertical source region or directly sandwiching said vertical source region between two sub-parts of said first drain part, the second drain part located directly above and in contact with the first drain part.
    Type: Grant
    Filed: May 28, 2014
    Date of Patent: April 19, 2016
    Assignees: IMEC VZW, Katholieke Universiteit Leuven, KU LEUVEN R&D
    Inventors: Anne S. Verhulst, Quentin Smets
  • Patent number: 9306181
    Abstract: A carbon nanotube transistor and method of manufacturing a carbon nanotube transistor is disclosed. The carbon nanotube transistor includes a carbon nanotube on a substrate, a gate electrode deposited on the carbon nanotube, and at least one of a source electrode and a drain electrode deposited on the carbon nanotube and separated from the gate electrode by a space region. The carbon nanotube is doped at the gate electrode an in the space region to form a p-n junction.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: April 5, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Qing Cao, Shu-jen Han
  • Patent number: 9306063
    Abstract: Vertical transistor devices are described. For example, in one embodiment, a vertical transistor device includes an epitaxial source semiconductor region disposed on a substrate, an epitaxial channel semiconductor region disposed on the source semiconductor region, an epitaxial drain semiconductor region disposed on the channel semiconductor region, and a gate electrode region surrounding sidewalls of the semiconductor channel region. A composition of at least one of the semiconductor regions varies along a longitudinal axis that is perpendicular with respect to a surface of the substrate.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: April 5, 2016
    Assignee: Intel Corporation
    Inventors: Brian S. Doyle, Uday Shah, Roza Kotlyar, Charles C. Kuo
  • Patent number: 9287360
    Abstract: A method for fabricating a III-V nanowire. The method may include providing a semiconductor substrate, which includes an insulator, with a wide-bandgap layer on the top surface of the semiconductor substrate; etching the insulator to suspend the wide-bandgap layer; growing a compositionally-graded channel shell over the wide-bandgap layer; forming a gate structure forming spacers on the sidewalls of the gate structure; and forming a doped raised source drain region adjacent to the spacers.
    Type: Grant
    Filed: January 7, 2015
    Date of Patent: March 15, 2016
    Assignee: International Business Machines Corporation
    Inventors: Anirban Basu, Guy M. Cohen, Amlan Majumdar, Jeffrey W. Sleight
  • Patent number: 9269772
    Abstract: A semiconductor device and a method for fabricating the same are disclosed. In the method, a substrate structure is provided, including a substrate and a fin-shaped buffer layer formed on the surface of the substrate. A QW material layer is formed on the surface of the fin-shaped buffer layer. A barrier material layer is formed on the QW material layer. The QW material layer is suitable for forming an electron gas therein. Thereby the short-channel effect is improved, while high mobility of the semiconductor device is guaranteed. In addition, according to the present disclosure, thermal dissipation of the semiconductor device may be improved, and thus performance and stability of the device may be improved.
    Type: Grant
    Filed: May 19, 2014
    Date of Patent: February 23, 2016
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: De Yuan Xiao
  • Patent number: 9263526
    Abstract: A semiconductor component (1, 20, 30) comprising a semiconductor substrate (3) composed of silicon carbide and comprising separate electrodes (4, 5) applied thereto, said electrodes each comprising at least one monolayer of epitaxial graphene (11) on silicon carbide, in such a way that a current channel is formed between the electrodes (4, 5) through the semiconductor substrate (3).
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: February 16, 2016
    Assignee: Friedrich-Alexander-Universität Erlangen-Nürnberg
    Inventors: Heiko B. Weber, Michael Krieger, Stefan Hertel, Florian Krach, Johannes Jobst, Daniel Waldmann
  • Patent number: 9257545
    Abstract: A method of forming a semiconductor structure including forming a stack of layers on a top surface of a substrate, the stack of layers including alternating layers of a semiconductor material and a sacrificial material, where a bottommost layer of the stack of layers is a top semiconductor layer of the substrate, patterning a plurality of material stacks from the stack of layers, each material stack including an alternating stack of a plurality of nanowire channels and a plurality of sacrificial spacers, the plurality of nanowire channels including the semiconductor material, and the plurality of sacrificial spacers including the sacrificial material, and removing at least one of the plurality of nanowire channels from at least one of the plurality of material stacks without removing one or more of the plurality of nanowire channels from an adjacent material stack.
    Type: Grant
    Filed: September 12, 2013
    Date of Patent: February 9, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Effendi Leobandung
  • Patent number: 9257346
    Abstract: Embodiments of an apparatus and methods for providing three-dimensional complementary metal oxide semiconductor devices comprising modulation doped transistors are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: February 9, 2016
    Assignee: Intel Corporation
    Inventors: Ravi Pillarisetty, Mantu Hudait, Marko Radosavljevic, Willy Rachmady, Gilbert Dewey, Jack Kavalieros
  • Patent number: 9252237
    Abstract: Transistors, semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes forming a transistor over a workpiece. The transistor includes a sacrificial gate material comprising a group III-V material. The method includes combining a metal (Me) with the group III-V material of the sacrificial gate material to form a gate of the transistor comprising a Me-III-V compound material.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: February 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Gerben Doornbos, Richard Oxland