Insulated Gate Capacitor Or Insulated Gate Transistor Combined With Capacitor (e.g., Dynamic Memory Cell) Patents (Class 257/296)
  • Patent number: 11152365
    Abstract: Disclosed are a semiconductor memory device and a method of manufacturing the same. The semiconductor memory device includes a device isolation layer defining active regions of a substrate, and gate lines buried in the substrate and extending across the active regions. Each of the gate lines includes a conductive layer, a liner layer disposed between and separating the conductive layer and the substrate, and a first work function adjusting layer disposed on the conductive layer and the liner layer. The first work function adjusting layer includes a first work function adjusting material. A work function of the first work function adjusting layer is less than those of the conductive layer and the liner layer.
    Type: Grant
    Filed: April 30, 2018
    Date of Patent: October 19, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Namho Jeon, Jin-Seong Lee, Hyun-jung Lee, Dongsoo Woo, Donggyu Heo, Jaeho Hong
  • Patent number: 11152429
    Abstract: An integrated circuit structure includes: a field-effect transistor including a semiconductor region including a semiconductor material having a bandgap less than or equal to that of silicon, a semiconductor source and a semiconductor drain, the semiconductor region being between the semiconductor source and the semiconductor drain, a gate electrode, a gate dielectric between the semiconductor region and the gate electrode, a source contact adjacent to the semiconductor source, and a drain contact adjacent to the semiconductor drain; and a resistive switch or a capacitor electrically connected to the drain contact. One of the source contact and the drain contact includes a threshold switching region, to be a selector for the resistive switch or the capacitor. In some embodiments, the threshold switching region includes a threshold switching oxide or a threshold switching chalcogenide, and the resistive switch or the capacitor is part of a resistive memory cell or capacitive memory cell.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: October 19, 2021
    Assignee: Intel Corporation
    Inventors: Abhishek A. Sharma, Brian S. Doyle, Ravi Pillarisetty, Prashant Majhi, Elijah V. Karpov
  • Patent number: 11152567
    Abstract: A phase change memory structure (100) can include a memory cell, a dielectric material (130) adjacent to the memory cell, and a bit line. The memory cell can include a phase change material layer (110) and a top electrode layer (120) above the phase change material layer. The dielectric material can have a top surface (135) that is higher than a top surface (125) of the top electrode layer. The bit line (140) can have a non-flat bottom surface that contacts the top surface of the dielectric material and protrudes down from the top surface of the dielectric material to a top surface of the memory cell.
    Type: Grant
    Filed: July 1, 2017
    Date of Patent: October 19, 2021
    Assignee: Intel Corporation
    Inventors: Maneesh Mishra, Mihir H. Bohra
  • Patent number: 11139397
    Abstract: The present disclosure relates to methods for forming a semiconductor device. The method includes forming a substrate and forming first and second spacers on the substrate. The method includes depositing first and second self-assembly (SAM) layers respectively on sidewalls of the first and second spacers and depositing a layer stack on the substrate and between and in contact with the first and second SAM layers. Depositing the layer stack includes depositing a ferroelectric layer and removing the first and second SAM layers. The method further includes depositing a metal compound layer on the ferroelectric layer. Portions of the metal compound layer are deposited between the ferroelectric layer and the first or second spacers. The method also includes depositing a gate electrode on the metal compound layer and between the first and second spacers.
    Type: Grant
    Filed: September 16, 2019
    Date of Patent: October 5, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Ming Lin, Sai-Hooi Yeong, Ziwei Fang, Chi On Chui, Huang-Lin Chao
  • Patent number: 11139368
    Abstract: A semiconductor device includes a substrate having at least one trench with corrugated sidewall surface. At least one trench capacitor is located in the at least one trench. The at least one trench capacitor includes inner and outer electrodes with a node dielectric layer therebetween. At least one transistor is provided on the substrate. The at least one transistor comprises a source region and a drain region, a channel region between the source region and the drain region, and a gate over the channel region. The source region is electrically connected to the inner electrode of the at least one trench capacitor.
    Type: Grant
    Filed: October 1, 2019
    Date of Patent: October 5, 2021
    Assignee: HeFeChip Corporation Limited
    Inventors: Geeng-Chuan Chern, Liang-Choo Hsia
  • Patent number: 11139302
    Abstract: Some embodiments include an integrated assembly having bitlines spaced from one another by intervening voids. Insulative supports are over the bitlines. A conductive plate is supported by the insulative supports and is proximate the bitlines to drain excess charge from the bitlines. Some embodiments include a method of forming an integrated assembly. A stack is formed to have insulative material over bitline material. The stack is patterned into rails that extend along a first direction. The rails include the patterned bitline material as bitlines, and include the patterned insulative material as insulative supports over the bitlines. The rails are spaced from one another along a second direction, orthogonal to the first direction, by voids. Sacrificial material is formed within the voids. A conductive plate is formed over the insulative supports and the sacrificial material. The sacrificial material is removed from under the conductive plate to re-form the voids.
    Type: Grant
    Filed: June 10, 2019
    Date of Patent: October 5, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Mitsunari Sukekawa, Hiroaki Taketani
  • Patent number: 11139309
    Abstract: Integrated circuitry comprises a plurality of features horizontally arrayed in a two-dimensional (2D) lattice. The 2D lattice comprises a parallelogram unit cell having four lattice points and four straight-line sides between pairs of the four lattice points. The parallelogram unit cell has a straight-line diagonal there-across between two diagonally-opposed of the four lattice points. The straight-line diagonal is longer than each of the four straight-line sides. Individual of the features are at one of the four lattice points and occupy a maximum horizontal area that is horizontally elongated along a direction that is horizontally angled relative to each of the four straight-line sides. Other embodiments, including methods, are disclosed.
    Type: Grant
    Filed: July 3, 2019
    Date of Patent: October 5, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Kamal Kumar Muthukrishnan, Alex J. Schrinsky
  • Patent number: 11133338
    Abstract: FET IC structures that enable formation of integrated capacitors in a “flipped” SOI IC structure made using a back-side access process, such as a “single layer transfer” (SLT) process, and which eliminate or mitigate unwanted parasitic couplings to a handle wafer. In some embodiments, a conductive interconnect layer may be patterned, pre-SLT, to form an isolated first capacitor plate. In other embodiments, pre-SLT, a conductive region of the active layer of an IC may be patterned to form an isolated first capacitor plate, with one or more interconnect layers being fabricated in position to form an electrical contact to the first capacitor plate. In either case, a post-SLT top-side layer of conductive material may be patterned to form a second capacitor plate. Couplings to the resulting capacitor structures include only external connections, only internal connections, or both internal and external connections.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: September 28, 2021
    Assignee: pSemi Corporation
    Inventors: Abhijeet Paul, Hiroshi Yamada, Alain Duvallet
  • Patent number: 11121136
    Abstract: A method of forming insulating structures in a semiconductor device is provided in the present invention, which includes the steps of forming a first mask layer with mandrels and a peripheral portion surrounding the mandrels, forming spacers on sidewalls of first mask layer, filling up the space between spacers with a second mask layer, removing the spacers to form opening patterns, performing an etch process with the first mask layer and the second mask layer as an etch mask to form trenches in the substrate, and filling up the trenches with an insulating material to form insulating structures.
    Type: Grant
    Filed: October 2, 2019
    Date of Patent: September 14, 2021
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventor: Li-Wei Feng
  • Patent number: 11114441
    Abstract: A semiconductor memory device includes a substrate, a plurality of landing pads, a first conducting layer, a plurality of first capacitors, a plurality of second capacitors, a second conducting layer and a plurality of third capacitors. The substrate has an active area, and the active area has a first area, a second area and a third area. The third area surrounds the first area. The second area surrounds the first area and the third area. The landing pads are disposed on the first area. The first conducting layer is disposed on the second area. The first capacitors are disposed on the landing pads respectively. The second capacitors are disposed on the first conducting layer. The second conducting layer is disposed on the second capacitors. The third capacitors are disposed in the third area. The second conducting layer is not electrically connected to the third capacitors.
    Type: Grant
    Filed: October 15, 2020
    Date of Patent: September 7, 2021
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Shian-Jyh Lin
  • Patent number: 11114443
    Abstract: Systems, apparatuses, and methods related to semiconductor structure formation are described. An example method may include patterning a working surface of a semiconductor wafer. The method may further include performing a vapor etch on a first dielectric material at the working surface to recess the first dielectric material to a first intended depth of an opening relative to the working surface and to expose a second dielectric material on a sidewall of the opening. The method may further include performing a wet etch on the second dielectric material to recess the second dielectric material to the intended depth.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: September 7, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Vivek Yadav, Fatma Arzum Simsek-Ege, Sanjeev Sapra, Thomas A. Figura, Kangle Li
  • Patent number: 11107974
    Abstract: A Magnetic Tunnel Junction (MTJ) device can include a reference magnetic layer having one or more trenches disposed therein. One or more sections of a tunnel barrier layer can be disposed on the walls of the one or more trenches. One or more sections of a free magnetic layer can be disposed on the one or more sections of the tunnel barrier layer in the one or more trenches. One or more sections of a conductive layer can be disposed on the one or more sections of the free magnetic layer in the one or more trenches. One or more insulator blocks can be disposed between corresponding sections of the tunnel barrier layer, corresponding sections of the free magnetic layer and corresponding sections of the conductive layer in the one or more trenches.
    Type: Grant
    Filed: September 4, 2018
    Date of Patent: August 31, 2021
    Assignee: SPIN MEMORY, INC.
    Inventor: Satoru Araki
  • Patent number: 11107978
    Abstract: A Magnetic Tunnel Junction (MTJ) device can include a reference magnetic layer having one or more trenches disposed therein. One or more sections of a tunnel barrier layer can be disposed on the walls of the one or more trenches. One or more sections of a free magnetic layer can be disposed on the one or more sections of the tunnel barrier layer in the one or more trenches. One or more sections of a conductive layer can be disposed on the one or more sections of the free magnetic layer in the one or more trenches. One or more insulator blocks can be disposed between corresponding sections of the tunnel barrier layer, corresponding sections of the free magnetic layer and corresponding sections of the conductive layer in the one or more trenches.
    Type: Grant
    Filed: September 4, 2018
    Date of Patent: August 31, 2021
    Assignee: SPIN MEMORY, INC.
    Inventor: Satoru Araki
  • Patent number: 11107896
    Abstract: Vertical interconnect structures and methods of forming are provided. The vertical interconnect structures may be formed by partially filling a first opening through one or more dielectric layers with layers of conductive materials. A second opening is formed in a dielectric layer such that a depth of the first opening after partially filling with the layers of conductive materials is close to a depth of the second opening. The remaining portion of the first opening and the second opening may then be simultaneously filled.
    Type: Grant
    Filed: May 10, 2019
    Date of Patent: August 31, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Yu Huang, Shih-Che Lin, Chao-Hsun Wang, Kuo-Yi Chao, Mei-Yun Wang, Feng-Yu Chang, Rueijer Lin, Chen-Yuan Kao
  • Patent number: 11107785
    Abstract: The present application discloses a semiconductor device and a method for fabricating the semiconductor device. The semiconductor device includes a substrate, a plurality of conductive features positioned above the substrate, a plurality of landing pads positioned above the substrate, a coverage layer positioned above the substrate, and a plurality of capacitor structures positioned above the substrate. An angle between the axes of two adjacent landing pads is less than 180 degrees.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: August 31, 2021
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Ping Hsu
  • Patent number: 11101352
    Abstract: Disclosed herein are quantum dot devices, as well as related computing devices and methods. For example, in some embodiments, a quantum dot device may include: a quantum well stack structure of a quantum dot device, wherein the quantum well stack structure includes an insulating material to define multiple rows of quantum dot formation regions; and a gate that extends over multiple ones of the rows.
    Type: Grant
    Filed: September 24, 2016
    Date of Patent: August 24, 2021
    Assignee: Intel Corporation
    Inventors: Hubert C. George, Ravi Pillarisetty, Jeanette M. Roberts, Nicole K. Thomas, James S. Clarke
  • Patent number: 11101323
    Abstract: A method is presented for forming vertical crossbar resistive random access memory (RRAM) cells. The method includes forming a substantially U-shaped bottom electrode over a substrate, filling the U-shaped bottom electrode with a first conductive material, capping the U-shaped bottom electrode with a dielectric cap, depositing a high-k material, and forming a top electrode such that active areas of the RRAM cells are vertically aligned and the U-shaped bottom electrode is shared between neighboring RRAM cells.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: August 24, 2021
    Assignee: International Business Machines Corporation
    Inventors: Dexin Kong, Takashi Ando, Kangguo Cheng, Juntao Li
  • Patent number: 11101814
    Abstract: The present invention is a system and method for providing a modified Digital-to-Analog converter (DAC) for use in a time-interleaved successive-approximation-register (SAR) analog-to-digital converter (ADC), the DAC including grouping of capacitance electrodes by Bit in a DAC, thereby reducing parasitic capacitances, and substantially improving power efficiency and speed to operate at GHz frequencies.
    Type: Grant
    Filed: September 23, 2020
    Date of Patent: August 24, 2021
    Inventors: Shiuh-hua Wood Chiang, Yixin Song, Eric Swindlehurst, Jensen Hunter, Yen-Cheng Kuan
  • Patent number: 11100991
    Abstract: Example subject matter disclosed herein relates to apparatuses and/or devices, and/or various methods for use therein, in which an application of an electric potential to a circuit may be initiated and subsequently changed in response to a determination that a snapback event has occurred in a circuit. For example, a circuit may comprise a memory cell that may experience a snapback event as a result of an applied electric potential. In certain example implementations, a sense circuit may be provided which is responsive to a snapback event occurring in a memory cell to generate a feed back signal to initiate a change in an electric potential applied to the memory cell.
    Type: Grant
    Filed: March 20, 2020
    Date of Patent: August 24, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Jeremy Miles Hirst, Hernan A. Castro, Stephen Tang
  • Patent number: 11101272
    Abstract: A dynamic random access memory and its manufacturing method are provided. The memory includes a buried bit line, a plurality of buried word lines, a bit line contact structure, and a conductive plug. The buried bit line is formed in a substrate. A bottom surface of the buried word line is higher than a top surface of the buried bit line. The bit line contact structure is formed on the buried bit line and has a through hole. The bit line contact structure is not in direct contact with the buried bit line. A material of the bit line contact structure is different from a material of the buried bit line. The conductive plug is formed between the bit line contact structure and the buried bit line and fills the through hole, so that the bit line contact structure and the buried bit line are electrically connected.
    Type: Grant
    Filed: March 6, 2020
    Date of Patent: August 24, 2021
    Assignee: WINBOND ELECTRONICS CORP.
    Inventor: Chih-Hao Lin
  • Patent number: 11095215
    Abstract: Aspects of the disclosure provide for a circuit. In some examples, the circuit includes a first transistor, a second transistor, a third transistor, a first capacitor, and a second capacitor. The first transistor comprises a drain terminal coupled to an input voltage node, a source terminal coupled to a first node, and a gate terminal coupled to a second node. The second transistor comprises a drain terminal coupled to a third node, a source terminal coupled to a fourth node, and a gate terminal coupled to a fifth node. The third transistor comprises a drain terminal coupled to a sixth node, a source terminal configured to couple to a gate terminal of a switching transistor, and a gate terminal coupled to a seventh node. The first capacitor is coupled between the first node and the third node. The second capacitor is coupled between the fourth node and the sixth node.
    Type: Grant
    Filed: November 20, 2019
    Date of Patent: August 17, 2021
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Pavol Balaz, Hongcheng Xu, Ferdinand Stettner
  • Patent number: 11094707
    Abstract: Some embodiments include methods of forming semiconductor constructions. Alternating layers of n-type doped material and p-type doped material may be formed. The alternating layers may be patterned into a plurality of vertical columns that are spaced from one another by openings. The openings may be lined with tunnel dielectric, charge-storage material and blocking dielectric. Alternating layers of insulative material and conductive control gate material may be formed within the lined openings. Some embodiments include methods of forming NAND unit cells. Columns of alternating n-type material and p-type material may be formed. The columns may be lined with a layer of tunnel dielectric, a layer of charge-storage material, and a layer of blocking dielectric. Alternating layers of insulative material and conductive control gate material may be formed between the lined columns. Some embodiments include semiconductor constructions, and some embodiments include NAND unit cells.
    Type: Grant
    Filed: July 18, 2018
    Date of Patent: August 17, 2021
    Assignee: Micron Technology, Inc.
    Inventors: D.V. Nirmal Ramaswamy, Gurtej S. Sandhu
  • Patent number: 11094706
    Abstract: Some embodiments include methods of forming semiconductor constructions. Alternating layers of n-type doped material and p-type doped material may be formed. The alternating layers may be patterned into a plurality of vertical columns that are spaced from one another by openings. The openings may be lined with tunnel dielectric, charge-storage material and blocking dielectric. Alternating layers of insulative material and conductive control gate material may be formed within the lined openings. Some embodiments include methods of forming NAND unit cells. Columns of alternating n-type material and p-type material may be formed. The columns may be lined with a layer of tunnel dielectric, a layer of charge-storage material, and a layer of blocking dielectric. Alternating layers of insulative material and conductive control gate material may be formed between the lined columns. Some embodiments include semiconductor constructions, and some embodiments include NAND unit cells.
    Type: Grant
    Filed: July 18, 2018
    Date of Patent: August 17, 2021
    Assignee: Micron Technology, Inc.
    Inventors: D. V. Nirmal Ramaswamy, Gurtej S. Sandhu
  • Patent number: 11088245
    Abstract: Various examples of an integrated circuit device and a method for forming the device are disclosed herein. In an example, a method includes receiving a workpiece that includes a substrate, and a device fin extending above the substrate. The device fin includes a channel region. A portion of the device fin adjacent the channel region is etched, and the etching creates a source/drain recess and forms a dielectric barrier within the source/drain recess. The workpiece is cleaned such that a bottommost portion of the dielectric barrier remains within a bottommost portion of the source/drain recess. A source/drain feature is formed within the source/drain recess such that the bottommost portion of the dielectric barrier is disposed between the source/drain feature and a remainder of the device fin.
    Type: Grant
    Filed: August 24, 2020
    Date of Patent: August 10, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Feng-Ching Chu, Wei-Yang Lee, Yen-Ming Chen, Feng-Cheng Yang
  • Patent number: 11088147
    Abstract: Apparatus, such as electronic devices and structures thereof, include at least one doped surface of a base (e.g., semiconductor) material. A dopant of the at least one doped surface is concentrated along the surface, defining a thickness, on or in the base material, not exceeding about one atomic layer. Methods for forming the doped surfaces involve gas-phase doping exposed surfaces of the base material in situ, within a same material-removal tool used to form at least one opening defined at least partially by the base material and into which the dopant is to be introduced.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: August 10, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Jaydip Guha, Saurabh Keshav, Srinivas Pulugurtha, Mohd Kamran Akhtar, James B. Franek, Alex J. Schrinsky
  • Patent number: 11081561
    Abstract: Structures for a field-effect transistor and methods of forming a field-effect transistor. An isolation region is arranged to surround an active device region, which is composed of a semiconductor material. A trench is arranged in the active device region. The trench includes a bottom surface and a sidewall extending from the bottom surface to a top surface of the active device region. A gate electrode of the field-effect transistor has a first section on the top surface of the active device region, a second section on the bottom surface of the trench, and a third section on the sidewall of the trench.
    Type: Grant
    Filed: May 7, 2019
    Date of Patent: August 3, 2021
    Assignee: GLOBALFOUNDRIES U.S. INC.
    Inventors: Steven M. Shank, Anthony K. Stamper, Siva P. Adusumilli
  • Patent number: 11075261
    Abstract: The disclosed technology relates to a structure for use in a metal-insulator-metal capacitor. In one aspect, the structure comprises a bottom electrode formed of a Ru layer. The Ru layer has a top surface characterized by a grazing incidence X-ray diffraction spectrum comprising a first intensity and a second intensity, the first intensity corresponding to a diffracting plane of Miller indices (0 0 2) being larger than the second intensity corresponding to a diffracting plane of Miller indices (1 0 1). The structure further comprises an interlayer on the top surface of the Ru layer, the interlayer being formed of an oxide of Sr and Ru having a cubic lattice structure, and a dielectric layer on the interlayer, the dielectric layer being formed of an oxide of Sr and Ti.
    Type: Grant
    Filed: November 7, 2019
    Date of Patent: July 27, 2021
    Assignee: IMEC vzw
    Inventors: Mihaela Ioana Popovici, Ludovic Goux, Gouri Sankar Kar
  • Patent number: 11075204
    Abstract: A semiconductor device is disclosed, which comprises a capacitor structure formed over a device region of a substrate, and a buffer layer. The capacitor structure comprises a lower electrode having a U-shaped profile that opens away from the substrate, the U-shaped profile defines an interior surface and an opposing exterior surface; a dielectric liner extending into the U-shaped profile and conformally covering the interior surface of the lower electrode; and an upper electrode formed over the dielectric liner, extending into and filling the U-shaped profile, the upper electrode) includes a top conductive layer. The buffer layer formed on the top conductive layer of the upper electrode, wherein the lattice constant of the buffer layer is greater than that of the top conductive layer.
    Type: Grant
    Filed: November 11, 2019
    Date of Patent: July 27, 2021
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventors: Jee-Hoon Kim, Hyunyoung Kim, Sungsoo Byeon, Sangyoung Park
  • Patent number: 11069801
    Abstract: A semiconductor device, an electronic apparatus, and a method of manufacturing a semiconductor device with reduced RTN influence regardless of gate electrode shape are disclosed. In one example, a semiconductor device includes a substrate having an element region and an element separating region, the element region including a source region and a drain region, and a channel region between the source and drain regions. The element separating region is arranged on both sides in a direction orthogonal to the source, channel and drain region arrangement direction. A gate insulating film is provided on the element region of the substrate from one side to another side of the element separating region. A gate electrode is provided on the gate insulating film, and includes an impurity having a different concentration in a boundary region as compared to a central region.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: July 20, 2021
    Assignee: Sony Semiconductor Solutions Corporation
    Inventor: Hirokazu Ejiri
  • Patent number: 11069850
    Abstract: According to one embodiment, a magnetic memory device includes a stacked structure including a first magnetic layer having a fixed magnetization direction, a nonmagnetic layer provided on the first magnetic layer, and a second magnetic layer provided on the nonmagnetic layer and having a variable magnetization direction, a first insulating layer provided along a side surface of the stacked structure and having an upper end located at a position lower than an upper end of the side surface of the stacked structure, and a second insulating layer covering the first insulating layer and having an upper end located at a position higher than the upper end of the first insulating layer.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: July 20, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Yoshinori Kumura
  • Patent number: 11067859
    Abstract: A liquid crystal display device may include a gate line, a data line, a storage electrode set, a transistor, a pixel electrode, and repair member. The gate line may transmit a gate signal. The data line may transmit a data signal. The transistor may include a gate electrode connected to the gate line, a source electrode connected to the data line, and a drain electrode connected to the pixel electrode. The drain electrode and the storage electrode set may overlap each other and form a storage capacitor. The repair member may be formed of an electrically conductive material, may be electrically insulated from each of the drain electrode and the data line, and may overlap the storage electrode set.
    Type: Grant
    Filed: March 29, 2019
    Date of Patent: July 20, 2021
    Inventors: Yoo Mi Ra, Seong Young Lee, Kyung Ho Kim, Na Hyeon Cha
  • Patent number: 11069564
    Abstract: A technique relates to a semiconductor device. Mandrels are formed on a substrate, the mandrels including a first metal layer. A second metal layer is formed on the substrate adjacent to the first metal layer, the first and second metal layers being separated by spacer material.
    Type: Grant
    Filed: April 9, 2019
    Date of Patent: July 20, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hsueh-Chung Chen, Yongan Xu, Yann Mignot, James Kelly, Lawrence A. Clevenger
  • Patent number: 11063131
    Abstract: Described is a ferroelectric-based capacitor that improves reliability of a ferroelectric memory by providing tensile stress along a plane (e.g., x-axis) of a ferroelectric or anti-ferroelectric material of the ferroelectric/anti-ferroelectric based capacitor. Tensile stress is provided by a spacer comprising metal, semimetal, or oxide (e.g., metal or oxide of one or more of: Al, Ti, Hf, Si, Ir, or N). The tensile stress provides polar orthorhombic phase to the ferroelectric material and tetragonal phase to the anti-ferroelectric material. As such, memory window and reliability of the ferroelectric/anti-ferroelectric oxide thin film improves.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: July 13, 2021
    Assignee: Intel Corporation
    Inventors: Nazila Haratipour, Sou-Chi Chang, Chia-Ching Lin, Jack Kavalieros, Uygar Avci, Ian Young
  • Patent number: 11056645
    Abstract: A vertical memory device includes gate electrodes on a substrate and a first structure. The gate electrodes may be spaced apart from each other in a first direction perpendicular to an upper surface of the substrate. The first structure extends through the gate electrodes in the first direction, and includes a channel and a variable resistance structure sequentially stacked in a horizontal direction parallel to the upper surface of the substrate. The variable resistance structure may include quantum dots (QDs) therein.
    Type: Grant
    Filed: July 12, 2019
    Date of Patent: July 6, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyung-Hwan Lee, Yong-Seok Kim, Jun-Hee Lim, Kohji Kanamori
  • Patent number: 11056610
    Abstract: A method of forming a metal silicide nanowire network that includes multiple metal silicide nanowires fused together in an orderly arrangement on a substrate. The metal silicide nanowire network can be formed by printing a first set of multiple parallel silicon nanowires on the substrate and printing a second set of multiple parallel silicon nanowires over the first set of multiple parallel silicon nanowires such that said first set is perpendicular to said second set. A metal layer can be formed on the silicon nanowires. A silicidation anneal process is performed such that metal silicide nanowires are formed and fused together in an orderly arrangement, forming a grid network. After the silicidation anneal is performed, any unreacted silicon or metal can be selectively removed.
    Type: Grant
    Filed: August 3, 2018
    Date of Patent: July 6, 2021
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Derrick Liu, Daniel S. Vanslette
  • Patent number: 11048410
    Abstract: Nonvolatile memory (e.g., flash memory, solid-state disk) is included on memory modules that are on a DRAM memory channel. Nonvolatile memory residing on a DRAM memory channel may be integrated into the existing file system structures of operating systems. The nonvolatile memory residing on a DRAM memory channel may be presented as part or all of a distributed file system. Requests and/or remote procedure call (RPC) requests, or information associated with requests and/or RPCs, may be routed to the memory modules over the DRAM memory channel in order to service compute and/or distributed file system commands.
    Type: Grant
    Filed: February 23, 2014
    Date of Patent: June 29, 2021
    Assignee: Rambus Inc.
    Inventor: Steven C. Woo
  • Patent number: 11045646
    Abstract: A nerve cuff electrode device comprising a cuff body having a smart memory polymer layer with a rigid configuration at room temperature and a softened configuration at about 37 C. The smart memory polymer layer has a trained curved region with a radius of curvature of about 3000 microns or less. A plurality of thin film electrodes located on the smart memory polymer layer. The thin film electrodes include discrete titanium nitride electrode sites that are located in the trained curved region. An exposed surface of each of the discrete titanium nitride electrode sites has a charge injection capacity of about 0.1 mC/cm2 or greater. Methods or manufacturing and using the device are also disclosed.
    Type: Grant
    Filed: June 26, 2017
    Date of Patent: June 29, 2021
    Assignee: Board of Regents, The University of Texas System
    Inventors: Romil Modi, Walter E. Voit, Mario Romero-Ortega
  • Patent number: 11043489
    Abstract: A semiconductor device includes a first channel region disposed over a substrate, and a first gate structure disposed over the first channel region. The first gate structure includes a gate dielectric layer disposed over the channel region, a lower conductive gate layer disposed over the gate dielectric layer, a ferroelectric material layer disposed over the lower conductive gate layer, and an upper conductive gate layer disposed over the ferroelectric material layer. The ferroelectric material layer is in direct contact with the gate dielectric layer and the lower gate conductive layer, and has a U-shape cross section.
    Type: Grant
    Filed: July 30, 2018
    Date of Patent: June 22, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Wen Chang, Hong-Nien Lin, Chien-Hsing Lee, Chih-Sheng Chang, Ling-Yen Yeh, Wilman Tsai, Yee-Chia Yeo
  • Patent number: 11043595
    Abstract: A semiconductor device includes a memory macro having first and second well pick-up (WPU) areas along first and second edges of the memory macro, respectively, and memory bit areas between the first and the second WPU areas. The first and second WPU areas are oriented lengthwise generally along a first direction. In each of the first and second WPU areas, the memory macro includes n-type wells and p-type wells arranged alternately along the first direction with a well boundary between each of the n-type wells and the adjacent p-type well. The memory macro further includes active regions; an isolation structure; gate structures, and a first dielectric layer that is disposed at each of the well boundaries. From a top view, the first dielectric layer extends generally along a second direction perpendicular to the first direction and through all the gate structures in the first and the second WPU areas.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: June 22, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Wen Su, Yu-Kuan Lin, Chih-Chuan Yang, Chang-Ta Yang, Shih-Hao Lin
  • Patent number: 11038097
    Abstract: Magnetic structures including magnetic inductors and magnetic tunnel junction (MTJ)-containing structures that have tapered sidewalls are formed without using an ion beam etch (IBE). The magnetic structures are formed by providing a material stack of a dielectric capping layer and a sacrificial dielectric material layer above a lower interconnect level. First and second etching steps are performed to pattern the sacrificial dielectric material layer and the dielectric capping layer such that a patterned dielectric capping layer is provided with a tapered sidewall. After removing the sacrificial dielectric material layer, a magnetic material-containing stack is formed within the opening in the patterned dielectric capping layer and atop the patterned dielectric capping layer. A planarization process is then employed to pattern the magnetic-containing stack by removing the magnetic material-containing stack that is located atop the patterned dielectric capping layer.
    Type: Grant
    Filed: September 19, 2019
    Date of Patent: June 15, 2021
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Oscar van der Straten, Alexander Reznicek, Praneet Adusumilli
  • Patent number: 11038014
    Abstract: A semiconductor device and a method of forming the same, the semiconductor device includes a substrate, a gate structure, a first dielectric layer, a second dielectric layer, a first plug and two metal lines. The substrate has a shallow trench isolation and an active area, and the gate structure is disposed on the substrate to cover a boundary between the active area and the shallow trench isolation. The first dielectric layer is disposed on the substrate, to cover the gate structure, and the first plug is disposed in the first dielectric layer to directly in contact with a conductive layer of the gate structure and the active area. The second dielectric layer is disposed on the first dielectric layer, with the first plug and the gate being entirely covered by the first dielectric layer and the second dielectric layer. The two metal lines are disposed in the second dielectric layer.
    Type: Grant
    Filed: October 8, 2018
    Date of Patent: June 15, 2021
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Feng-Yi Chang, Fu-Che Lee, Yi-Ching Chang, Kai-Lou Huang, Ying-Chih Lin, Gang-Yi Lin
  • Patent number: 11037932
    Abstract: A semiconductor arrangement includes an active region including a semiconductor device. The semiconductor arrangement includes a capacitor having a first electrode layer, a second electrode layer, and an insulating layer between the first electrode layer and the second electrode layer. At least three dielectric layers are between a bottom surface of the capacitor and the active region.
    Type: Grant
    Filed: April 22, 2019
    Date of Patent: June 15, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Chern-Yow Hsu, Chen-Jong Wang, Chia-Shiung Tsai, Shih-Chang Liu, Xiaomeng Chen
  • Patent number: 11037951
    Abstract: Electronic apparatus and methods of forming the electronic apparatus may include one or more charge trap structures for use in a variety of electronic systems and devices, where each charge trap structure includes a dielectric barrier between a gate and a blocking dielectric on a charge trap region of the charge trap structure. In various embodiments, a void is located between the charge trap region and a region on which the charge trap structure is disposed. In various embodiments, a tunnel region separating a charge trap region from a semiconductor pillar of a charge trap structure, can be arranged such that the tunnel region and the semiconductor pillar are boundaries of a void. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: September 24, 2019
    Date of Patent: June 15, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Chris M. Carlson, Ugo Russo
  • Patent number: 11031459
    Abstract: A semiconductor device according to an embodiment includes a semiconductor substrate, a wiring layer on or above the semiconductor substrate, the wiring layer having a first metal layer and a second metal layer in contact with the first metal layer, a capacitor lower electrode on or above the semiconductor substrate, the capacitor lower electrode being the same material as the second metal layer, a capacitor insulating film on the capacitor lower electrode, and a capacitor upper electrode on the capacitor insulating film. A distance from the semiconductor substrate to an upper face of the capacitor lower electrode is equal to or less than a distance from the semiconductor substrate to an upper face of the wiring layer, and a distance from the semiconductor substrate to a lower face of the capacitor lower electrode is greater than a distance from the semiconductor substrate to a lower face of the wiring layer.
    Type: Grant
    Filed: February 25, 2020
    Date of Patent: June 8, 2021
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Electronic Devices & Storage Corporation
    Inventor: Masaki Yamada
  • Patent number: 11011315
    Abstract: Disclosed herein is a thin film capacitor that includes a capacitive insulating film having first and second through holes, a first metal film provided on one surface of the capacitive insulating film, and a second metal film provided on the other surface of the capacitive insulating film. The first and second metal films are made of different metal materials from each other. The first metal film is divided into a first area positioned outside the first space and a second area positioned inside the first space. The second metal film is divided into a third area positioned outside the second space and a fourth area positioned inside the second space. The third area is connected to the second area through the first through hole. The fourth area is connected to the first area through the second through hole.
    Type: Grant
    Filed: June 10, 2019
    Date of Patent: May 18, 2021
    Assignee: TDK CORPORATION
    Inventors: Michihiro Kumagae, Kazuhiro Yoshikawa, Shigeaki Tanaka, Hitoshi Saita
  • Patent number: 11011522
    Abstract: The present application discloses a semiconductor device with nanowire plugs and a method for fabricating the semiconductor device. The semiconductor device includes a substrate having first regions and second regions; a plurality of capacitor contacts positioned over the second regions, at least one of the capacitor contacts having a neck portion and a head portion over the neck portion, wherein an upper width of the head portion is larger than an upper width of the neck portion; a plurality of bit line contacts positioned over the first regions and a plurality of bit lines positioned over the bit line contacts; a plurality of capacitor plugs disposed over the capacitor contacts, wherein at least one of the plurality of capacitor plugs includes a plurality of nanowires, a conductive liner disposed over the nanowires, and a conductor disposed over the conductive liner; and a plurality of capacitor structures disposed respectively over the capacitor plugs.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: May 18, 2021
    Assignee: Nanya Technologies Corporation
    Inventor: Tzu-Ching Tsai
  • Patent number: 11004606
    Abstract: The present disclosure provides advantageous composite films/coatings, and improved methods for fabricating such composite films/coatings. More particularly, the present disclosure provides improved methods for fabricating composite films by trapping at least a portion of a layered material (e.g., hexagonal boron nitride sheets/layers) at an interface of a phase separated system and then introducing the layered material to a polymer film. The present disclosure provides for the use of boron nitride layers to increase the properties (e.g., dielectric constant and breakdown voltage) of polymer films. The exemplary films can be produced by an advantageous climbing technique. Exemplary boron nitride films are composed of overlapping boron nitride sheets with a total thickness of about one nanometer, with the film then transferred onto a polymer film, thereby resulting in significant increases in both dielectric and breakdown properties of the polymer film.
    Type: Grant
    Filed: July 17, 2018
    Date of Patent: May 11, 2021
    Assignee: University of Connecticut
    Inventors: Douglas H. Adamson, Zhenhua Cui, Andrey V. Dobrynin
  • Patent number: 10998323
    Abstract: A dynamic random access memory (DRAM) including a substrate, transistors, bit line sets, conductive structures, and word line sets is provided. The transistors are arranged on the substrate in an array. Each transistor includes a first conductive layer, a second conductive layer, and a third conductive layer. The bit line sets are disposed in parallel along a Y direction and pass through the transistors. Each bit line set includes a first bit line and a second bit line electrically connected to the first conductive layer of each transistor respectively. The conductive structures are located in the transistors. The conductive structures are electrically connected to the second conductive layer of the transistors and the substrate. The word line sets are disposed in parallel along an X direction. Each word line set includes a first word line and a second word line located on sidewalls of each transistor respectively.
    Type: Grant
    Filed: August 4, 2019
    Date of Patent: May 4, 2021
    Assignee: Winbond Electronics Corp.
    Inventors: Kai Jen, Ting-Ting Ke
  • Patent number: 10998261
    Abstract: Over-molded IC package assemblies including an in-mold capacitor. In some embodiments, an over-molded package assembly includes a IC chip or die coupled to one or more metal distribution layer or package substrate. A molding compound encapsulates at least the IC chip and one or more capacitors are fabricated within the molding compound. The capacitors may include two or more metal plates separated by an intervening dielectric material, all of which are embedded within a trench in the molding compound. Individual ones of the capacitor plates may physically contact a conductive land of the package redistribution layer or package substrate, for example to tie the plates to a ground plane and power plane, or two supply rails, in a decoupling capacitor application.
    Type: Grant
    Filed: May 8, 2018
    Date of Patent: May 4, 2021
    Assignee: Intel Corporation
    Inventors: Jackson Chung Peng Kong, Bok Eng Cheah, Wen Wei Lum, Mooi Ling Chang, Ping Ping Ooi
  • Patent number: 10998321
    Abstract: A semiconductor device includes a buried word line in a substrate and extending along a first direction, a stacked nanowire structure over the buried word line, a first source/drain region and a second source/drain region on opposite sides of the stacked nanowire structure, and a bit line contact and a capacitor contact over the first source/drain region and the second source/drain region, respectively. A method for manufacturing the semiconductor device includes the steps of forming a buried word line extending along a first direction in a substrate, mounting an epitaxy silicon sheet on the substrate and the buried word line, forming a stacked nanowire structure over the buried word line, forming a first source/drain region and a second source/drain region on opposite sides of the stacked nanowire structure, and forming a bit line contact and a capacitor contact over the first source/drain region and the second source/drain region, respectively.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: May 4, 2021
    Assignee: Nanya Technology Corporation
    Inventor: Huan-Yung Yeh