Non-uniform Channel Doping Patents (Class 257/404)
  • Patent number: 11275399
    Abstract: There is provided a reference voltage circuit which includes a depletion type transistor and an enhancement type transistor. At least one of the depletion type transistor and the enhancement type transistor is formed from a plurality of transistors and the reference voltage circuit is arranged in the form of a common centroid (common center of mass) to avoid the influence of a characteristic fluctuation due to stress from the resin encapsulation of a semiconductor device or the like.
    Type: Grant
    Filed: May 31, 2018
    Date of Patent: March 15, 2022
    Assignee: ABLIC INC.
    Inventors: Masakazu Sugiura, Fumihiko Maetani
  • Patent number: 10217937
    Abstract: Subject matter disclosed herein may relate to correlated electron switches that are capable of asymmetric set or reset operations.
    Type: Grant
    Filed: August 18, 2017
    Date of Patent: February 26, 2019
    Assignee: ARM Ltd.
    Inventors: Lucian Shifren, Greg Yeric
  • Patent number: 10032781
    Abstract: In a region just below an access gate electrode in an SRAM memory cell, a second halo region is formed adjacent to a source-drain region and a first halo region is formed adjacent to a first source-drain region. In a region just below a drive gate electrode, a third halo region is formed adjacent to the third source-drain region and a fourth halo region is formed adjacent to a fourth source-drain region. The second halo region is set to have an impurity concentration higher than the impurity concentration of the first halo region. The third halo region is set to have an impurity concentration higher than the impurity concentration of the fourth halo region. The impurity concentration of the first halo region and the impurity concentration of the fourth halo region are different from each other.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: July 24, 2018
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Koji Nii, Makoto Yabuuchi, Yasumasa Tsukamoto, Kengo Masuda
  • Patent number: 9755146
    Abstract: Subject matter disclosed herein may relate to correlated electron switches that are capable of asymmetric set or reset operations.
    Type: Grant
    Filed: September 10, 2015
    Date of Patent: September 5, 2017
    Assignee: ARM, Ltd.
    Inventors: Lucian Shifren, Greg Yeric
  • Patent number: 9166051
    Abstract: The invention relates to a memory cell consisting of an isolated MOS transistor having a drain (8), a source (7) and a body region covered with an insulated gate (12), in which the body region is divided through its thickness into two separate regions (13, 14) of opposite conductivity types extending parallel to the plane of the gate, the body region closest to the gate having the opposite conductivity type to that of the drain/source.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: October 20, 2015
    Assignees: Centre National de la Recherche Scientifique, Universidad de Granada
    Inventors: Sorin Ioan Cristoloveanu, Noel Rodriguez, Francisco Gamiz
  • Patent number: 9123742
    Abstract: A method for manufacturing a semiconductor device includes forming a first gate electrode on a semiconductor substrate in a first transistor region; forming a channel dose region; and forming a first source extension region, wherein the channel dose region is formed by using a first mask as a mask and by ion-implanting a first dopant of the first conductivity type, and the first mask covering a drain side of the first gate electrode and covering a drain region, and the first source extension region is formed by using a second mask and the gate electrode as masks and by ion-implanting a second dopant of a second conductivity type that is a conductivity type opposite to the first conductivity type, the second mask covering the drain side of the first gate electrode and covering the drain region.
    Type: Grant
    Filed: March 3, 2013
    Date of Patent: September 1, 2015
    Assignee: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Masashi Shima
  • Patent number: 8916928
    Abstract: When forming sophisticated multiple gate transistors and planar transistors in a common manufacturing sequence, the threshold voltage characteristics of the multiple gate transistors may be intentionally “degraded” by selectively incorporating a dopant species into corner areas of the semiconductor fins, thereby obtaining a superior adaptation of the threshold voltage characteristics of multiple gate transistors and planar transistors. In advantageous embodiments, the incorporation of the dopant species may be accomplished by using the hard mask, which is also used for patterning the self-aligned semiconductor fins.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: December 23, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Tim Baldauf, Andy Wei, Tom Herrmann, Stefan Flachowsky, Ralf Illgen
  • Patent number: 8809955
    Abstract: Semiconductor structures and methods for manufacturing the same are disclosed. The semiconductor structure comprises: a gate stack formed on a semiconductor substrate; a super-steep retrograde island embedded in said semiconductor substrate and self-aligned with said gate stack; and a counter doped region embedded in said super-steep retrograde island, wherein said counter doped region has a doping type opposite to a doping type of said super-steep retrograde island. The semiconductor structures and the methods for manufacturing the same facilitate alleviating short channel effects.
    Type: Grant
    Filed: April 26, 2011
    Date of Patent: August 19, 2014
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Binneng Wu, Weiping Xiao, Hao Wu, Qingqing Liang
  • Patent number: 8779527
    Abstract: A method and circuit in which the drive strength of a FinFET transistor can be selectively modified, and in particular can be selectively reduced, by omitting the LDD extension formation in the source and/or in the drain of the FinFET. One application of this approach is to enable differentiation of the drive strengths of transistors in an integrated circuit by applying the technique to some, but not all, of the transistors in the integrated circuit. In particular in a SRAM cell formed from FinFET transistors the application of the technique to the pass-gate transistors, which leads to a reduction of the drive strength of the pass-gate transistors relative to the drive strength of the pull-up and pull-down transistors, results in improved SRAM cell performance.
    Type: Grant
    Filed: October 8, 2012
    Date of Patent: July 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Thomas Merelle, Gerben Doornbos, Robert James Pascoe Lander
  • Publication number: 20140183451
    Abstract: A semiconductor device includes a channel structure formed on a substrate, the channel structure being formed of a semiconductor material. A gate structure covers at least a portion of the surface of the channel structure and is formed of a film of insulation material and a gate electrode. A source structure is connected to one end of the channel structure, and a drain structure is connected to the other end of the channel structure.
    Type: Application
    Filed: December 19, 2013
    Publication date: July 3, 2014
    Applicant: Renesas Electronics Corporation
    Inventors: Tomohiro HIRAI, Shogo Mochizuki, Toshiharu Nagumo
  • Patent number: 8759916
    Abstract: Disclosed are embodiments of a metal oxide semiconductor field effect transistor (MOSFET) structure and a method of forming the structure. The structure incorporates source/drain regions and a channel region between the source/drain regions. The source/drain regions can comprise silicon, which has high diffusivity to the source/drain dopant. The channel region can comprise a silicon alloy selected for optimal charge carrier mobility and band energy and for its low source/drain dopant diffusivity. During processing, the source/drain dopant can diffuse into the edge portions of the channel region. However, due to the low diffusivity of the silicon alloy to the source/drain dopant, the dopant does not diffuse deep into channel region. Thus, the edge portions of the silicon alloy channel region can have essentially the same dopant profile as the source/drain regions, but a different dopant profile than the center portion of the silicon alloy channel region.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: June 24, 2014
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, Edward J. Nowak
  • Patent number: 8748887
    Abstract: An object is to increase field effect mobility of a thin film transistor including an oxide semiconductor. Another object is to stabilize electrical characteristics of the thin film transistor. In a thin film transistor including an oxide semiconductor layer, a semiconductor layer or a conductive layer having higher electrical conductivity than the oxide semiconductor is formed over the oxide semiconductor layer, whereby field effect mobility of the thin film transistor can be increased. Further, by forming a semiconductor layer or a conductive layer having higher electrical conductivity than the oxide semiconductor between the oxide semiconductor layer and a protective insulating layer of the thin film transistor, change in composition or deterioration in film quality of the oxide semiconductor layer is prevented, so that electrical characteristics of the thin film transistor can be stabilized.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: June 10, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideaki Kuwabara, Kengo Akimoto, Toshinari Sasaki
  • Patent number: 8716793
    Abstract: Disclosed are an LDMOS device and a method for manufacturing the same capable of decreasing the concentration of a drift region between a source finger tip and a drain, thereby increasing a breakdown voltage. An LDMOS device includes a gate which is formed on a substrate, a source and a drain which are separately arranged on both sides of the substrate with the gate interposed therebetween, a field oxide film which is formed to have a step between the gate and the drain, a drift region which is formed of first condition type impurity ions between the gate and the drain on the substrate, and at least one internal field ring which is formed inside the drift region and formed by selectively ion-implanting second conduction type impurity ions in accordance with the step of the field oxide film.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: May 6, 2014
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: Jae Hyun Yoo, Jong Min Kim
  • Patent number: 8653601
    Abstract: This invention provides a current control semiconductor element in which dependence of a sense ratio on a temperature distribution is eliminated and the accuracy of current detection using a sense MOSFET can be improved, and to provide a control device using the current control semiconductor element. The current control semiconductor element 1 includes a main MOSFET 7 that drives a current and a sense MOSFET 8 that is connected to the main MOSFET in parallel and detects a current shunted from a current of the main MOSFET. The main MOSFET is formed using a multi-finger MOSFET that has a plurality of channels and is arranged in a row. When a distance between the center of the multi-finger MOSFET 7 and a channel located farthest from the center of the multi-finger MOSFET 7 is indicated by L, a channel that is located closest to a position distant by a distance of (L/(?3)) from the center of the multi-finger MOSFET is used as a channel for the sense MOSFET 8.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: February 18, 2014
    Assignee: Hitachi Automotive Systems, Ltd.
    Inventors: Teppei Hirotsu, Nobuyasu Kanekawa, Itaru Tanabe
  • Patent number: 8653516
    Abstract: A transistor includes a substrate; a gate including a first electrically conductive layer stack on the substrate; and a first inorganic thin film dielectric layer on the substrate with the first inorganic thin film dielectric layer having a first pattern. A second inorganic thin film dielectric layer, having a second pattern, is in contact with the first inorganic thin film dielectric layer. The first inorganic thin film dielectric layer and the second thin film dielectric layer have the same material composition. A third inorganic thin film dielectric layer has a third pattern. A semiconductor layer is in contact with and has the same pattern as the third inorganic thin film dielectric material layer. A source/drain includes a second electrically conductive layer stack.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: February 18, 2014
    Assignee: Eastman Kodak Company
    Inventors: Shelby F. Nelson, Carolyn R. Ellinger, David H. Levy
  • Publication number: 20140015067
    Abstract: A planar transistor with improved performance has a source and a drain on a semiconductor substrate that includes a substantially undoped channel extending between the source and the drain. A gate is positioned over the substantially undoped channel on the substrate. Implanted source/drain extensions contact the source and the drain, with the implanted source/drain extensions having a dopant concentration of less than about 1×1019 atoms/cm3, or alternatively, less than one-quarter the dopant concentration of the source and the drain.
    Type: Application
    Filed: September 18, 2013
    Publication date: January 16, 2014
    Applicant: SuVolta, Inc.
    Inventors: Pushkar Ranade, Lucian Shifren, Sachin R. Sonkusale
  • Patent number: 8558323
    Abstract: A transistor may include: a gate insulting layer, a gate electrode formed on a bottom side of the gate insulating layer, a channel layer formed on a top side of the gate insulating layer, a source electrode that contacts a first portion of the channel layer, and a drain electrode that contacts a second portion of the channel layer. The channel layer may have a double-layer structure, including an upper layer and a lower layer. The upper layer may have a carrier concentration lower than that of the lower layer. The upper layer may be doped with a carrier acceptor in order to have an electrical resistance higher than that of the lower layer.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: October 15, 2013
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Sun-il Kim, Young-soo Park, Jae-chul Park
  • Patent number: 8552511
    Abstract: A semiconductor device including a low-concentration impurity region formed on the drain side of an n-type MIS transistor, in a non-self-aligned manner with respect to an end portion of the gate electrode. A high-concentration impurity region is placed with a specific offset from the gate electrode and a sidewall insulating film. The semiconductor device enables the drain breakdown voltage to be sufficient and the on-resistance to decrease. A silicide layer is also formed on the surface of the gate electrode, thereby achieving gate resistance reduction and high frequency characteristics improvement.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: October 8, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Masashi Shima
  • Patent number: 8507338
    Abstract: A fabricating method of semiconductor structure is provided. First, a substrate with a dielectric layer formed thereon is provided. The dielectric layer has a first opening and a second opening exposing a portion of the substrate. Further, a gate dielectric layer including a high-k dielectric layer and a barrier layer stacked thereon had been formed on the bottoms of the first opening and the second opening. Next, a sacrificial layer is formed on the portion of the gate dielectric layer within the second opening. Next, a first work function metal layer is formed to cover the portion of the gate dielectric layer within the first opening and the sacrificial layer. Then, the portion of the first work function metal layer and the sacrificial layer within the second opening are removed.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: August 13, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Duan-Quan Liao, Yi-Kun Chen, Xiao-Zhong Zhu
  • Patent number: 8390063
    Abstract: According to one embodiment, a semiconductor device comprises a high-k gate dielectric overlying a well region having a first conductivity type formed in a semiconductor body, and a semiconductor gate formed on the high-k gate dielectric. The semiconductor gate is lightly doped so as to have a second conductivity type opposite the first conductivity type. The disclosed semiconductor device, which may be an NMOS or PMOS device, can further comprise an isolation region formed in the semiconductor body between the semiconductor gate and a drain of the second conductivity type, and a drain extension well of the second conductivity type surrounding the isolation region in the semiconductor body. In one embodiment, the disclosed semiconductor device is fabricated as part of an integrated circuit including one or more CMOS logic devices.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: March 5, 2013
    Assignee: Broadcom Corporation
    Inventors: Akira Ito, Xiangdong Chen
  • Patent number: 8330232
    Abstract: A multi-bit memory cell includes a substrate; a multi-bit charge-trapping cell over the substrate, the multi-bit charge-trapping cell having a first lateral side and a second lateral side; a source region in the substrate, a portion of the source region being under the first side of the multi-bit charge-trapping cell; a drain region in the substrate, a portion of the drain region being under the second side of the multi-bit charge-trapping cell; and a channel region in the substrate between the source region and the drain region. The channel region has one of a p-type doping and an n-type doping, and the doping is configured to provide a highest doping concentration near the central portion of the channel region.
    Type: Grant
    Filed: August 22, 2005
    Date of Patent: December 11, 2012
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Shao Hong Ku, Yin Jen Chen, Wenpin Lu, Tahui Wang
  • Patent number: 8283733
    Abstract: Performance of field effect transistors and other channel dependent devices formed on a monocrystalline substrate is improved by carrying out a high temperature anneal in a nitrogen releasing atmosphere while the substrate is coated by a sacrificial oxide coating containing easily diffusible atoms that can form negatively charged ions and can diffuse deep into the substrate. In one embodiment, the easily diffusible atoms comprise at least 5% by atomic concentration of chlorine atoms in the sacrificial oxide coating and the nitrogen releasing atmosphere includes NO. The high temperature anneal is carried out for less than 10 hours at a temperature less than 1100° C.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: October 9, 2012
    Assignee: Promos Technologies Pte. Ltd.
    Inventors: Zhong Dong, Ching-Hwa Chen
  • Patent number: 8232605
    Abstract: The present invention relates to a method for gate leakage reduction and Vt shift control, in which a first ion implantation is performed on PMOS region and NMOS region of a substrate to implant fluorine ions, carbon ions, or both in the gate dielectric or the semiconductor substrate, and a second ion implantation is performed only on the NMOS region of the substrate to implant fluorine ions, carbon ions, or both in the gate dielectric or the semiconductor substrate in the NMOS region, with the PMOS region being covered by a mask layer. Thus, the doping concentrations obtained by the PMOS region and the NMOS region are different to compensate the side effect caused by the different equivalent oxide thickness and to avoid the Vt shift.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: July 31, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Liang Lin, Yu-Ren Wang, Wu-Chun Kao, Ying-Hsuan Li, Ying-Wei Yen, Shu-Yen Chan
  • Patent number: 8222649
    Abstract: A semiconductor device and a method of manufacturing the same, to appropriately determine an impurity concentration distribution of a field relieving region and reduce an ON-resistance. The semiconductor device includes a substrate, a first drift layer, a second drift layer, a first well region, a second well region, a current control region, and a field relieving region. The first well region is disposed continuously from an end portion adjacent to the vicinity of outer peripheral portion of the second drift layer to a portion of the first drift layer below the vicinity of outer peripheral portion. The field relieving region is so disposed in the first drift layer as to be adjacent to the first well region.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: July 17, 2012
    Assignee: Mitsubishi Electric Corporation
    Inventors: Naruhisa Miura, Keiko Fujihira, Kenichi Otsuka, Masayuki Imaizumi
  • Patent number: 8143678
    Abstract: A transistor may include: a gate insulting layer; a gate electrode formed on the gate insulating layer; a channel layer formed on the gate insulating layer; and source and drain electrodes that contact the channel layer. The channel layer may have a double-layer structure, including upper and lower layers. The upper layer may have a carrier concentration lower than the lower layer. A method of manufacturing a transistor may include: forming a channel layer on a substrate; forming source and drain electrodes on the substrate; forming a gate insulating layer on the substrate; and forming a gate electrode on the gate insulating layer above the channel layer. A method of manufacturing a transistor may include: forming a gate electrode on a substrate; forming a gate insulating layer on the substrate; forming a channel layer on the gate insulating layer; and forming source and drain electrodes on the gate insulating layer.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: March 27, 2012
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Sun-il Kim, Young-soo Park, Jae-chul Park
  • Patent number: 8139400
    Abstract: A memory circuit includes a plurality of bit line structures (each including a true and a complementary bit line), a plurality of word line structures intersecting the plurality of bit line structures to form a plurality of cell locations and a plurality of cells located at the plurality of cell locations. Each of the cells includes a logical storage element, a first access transistor selectively coupling a given one of the true bit lines to the logical storage element, and a second access transistor selectively coupling a corresponding given one of the complementary bit lines to the logical storage element. One or both of the first and second access transistors are configured with asymmetric current characteristics to enable independent enhancement of READ and WRITE margins. Also included within the 6-T scope are one or more design structures embodied in a machine readable medium, comprising circuits as set forth herein.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Aditya Bansal, Ching-Te K. Chuang, Jae-Joon Kim, Shih-Hsien Lo, Rahul M. Rao
  • Patent number: 8106481
    Abstract: Most semiconductor devices manufactured today, have uniform dopant concentration, either in the lateral or vertical device active (and isolation) regions. By grading the dopant concentration, the performance in various semiconductor devices can be significantly improved. Performance improvements can be obtained in application specific areas like increase in frequency of operation for digital logic, various power MOSFET and IGBT ICS, improvement in refresh time for DRAM's, decrease in programming time for nonvolatile memory, better visual quality including pixel resolution and color sensitivity for imaging ICs, better sensitivity for varactors in tunable filters, higher drive capabilities for JFET's, and a host of other applications.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: January 31, 2012
    Inventor: G. R. Mohan Rao
  • Patent number: 7964907
    Abstract: Methods of forming a gate structure for an integrated circuit memory device include forming a first dielectric layer having a dielectric constant of under 7 on an integrated circuit substrate. Ions of a selected element from group 4 of the periodic table and having a thermal diffusivity of less than about 0.5 centimeters per second (cm2/s) are injected into the first dielectric layer to form a charge storing region in the first dielectric layer with a tunnel dielectric layer under the charge storing region. A metal oxide second dielectric layer is formed on the first dielectric layer, the second dielectric layer. The substrate including the first and second dielectric layers is thermally treated to form a plurality of discrete charge storing nano crystals in the charge storing region and a gate electrode layer is formed on the second dielectric layer. Gate structures for integrated circuit devices and memory cells are also provided.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: June 21, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sam-jong Choi, Yong-kwon Kim, Kyoo-chul Cho, Kyung-soo Kim, Jae-ryong Jung, Tae-soo Kang, Sang-Sig Kim
  • Patent number: 7960786
    Abstract: A semiconductor structure includes a semiconductor substrate of a first conductivity type; a pre-high-voltage well (pre-HVW) in the semiconductor substrate, wherein the pre-HVW is of a second conductivity type opposite the first conductivity type; a high-voltage well (HVW) over the pre-HVW, wherein the HVW is of the second conductivity type; a field ring of the first conductivity type occupying a top portion of the HVW, wherein at least one of the pre-HVW, the HVW, and the field ring comprises at least two tunnels; an insulation region over the field ring and a portion of the HVW; a drain region in the HVW and adjacent the insulation region; a gate electrode over a portion the insulation region; and a source region on an opposite side of the gate electrode than the drain region.
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: June 14, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Eric Huang, Tsung-Yi Huang, Fu-Hsin Chen, Chyi-Chyuan Huang, Chung-Yeh Wu
  • Patent number: 7944003
    Abstract: An improved dynamic memory cell using a semiconductor fin or body is described. Asymmetrical doping is used in the channel region, with more dopant under the back gate to improve retention without significantly increasing read voltage.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: May 17, 2011
    Assignee: Intel Corporation
    Inventors: Ibrahim Ban, Avci E. Uygar, David L. Kencke
  • Patent number: 7943985
    Abstract: Oxide semiconductor thin film transistors (TFT) and methods of manufacturing the same are provided. The methods include forming a channel layer on a substrate, forming source and drain electrodes at opposing sides of the channel layer, and oxidizing a surface of the channel layer by placing an oxidizing material in contact with the surface of the channel layer, reducing carriers on the surface of the channel layer. Due to the oxidizing agent treatment of the surface of the channel layer, excessive carriers that are generated naturally, or during the manufacturing process, may be more effectively controlled.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: May 17, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-sang Kim, Sang-yoon Lee, Myung-kwan Ryu, Jang-yeon Kwon, Kyung-bae Park, Kyung-seok Son, Ji-sim Jung
  • Patent number: 7834383
    Abstract: A pixel including a substrate of a first conductivity type and having a surface, a photodetector of a second conductivity type that is opposite the first conductivity type, a floating diffusion region of the second conductivity type, a transfer region between the photodetector and the floating diffusion, a gate positioned above the transfer region and partially overlapping the photodetector, and a pinning layer of the first conductivity type extending at least across the photodetector from the gate.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: November 16, 2010
    Assignee: Aptina Imaging Corporation
    Inventors: Chintamani P. Palsule, Changhoon Choi, Fredrick P. LaMaster, John H. Stanback, Thomas E. Dungan, Thomas Joy, Homayoon Haddad
  • Patent number: 7816734
    Abstract: A field-effect transistor including localized halo ion regions that can optimize HEIP characteristics and GIDL characteristics. The field-effect transistor includes a substrate, an active region, a gate structure, and halo ion regions. The active region includes source/drain regions and a channel region formed at a partial region in the substrate. The gate structure electrically contacts the active region. The halo ion regions are locally formed adjacent to both end portions of the source/drain regions in the substrate.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: October 19, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyuck-Chai Jung, June-Hee Lim
  • Patent number: 7800166
    Abstract: Recessed channel array transistor (RCAT) structures and method of formation are generally described. In one example, an electronic device includes a semiconductor substrate, a first fin coupled with the semiconductor substrate, the first fin comprising a first source region and a first drain region, and a first gate structure of a recessed channel array transistor (RCAT) formed in a first gate region disposed between the first source region and the first drain region, wherein the first gate structure is formed by removing a sacrificial gate structure to expose the first fin in the first gate region, recessing a channel structure into the first fin, and forming the first gate structure on the recessed channel structure.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: September 21, 2010
    Assignee: Intel Corporation
    Inventors: Brian S. Doyle, Ravi Pillarisetty, Gilbert Dewey, Robert S. Chau
  • Patent number: 7777282
    Abstract: A microelectronic device includes a tunneling pocket within an asymmetrical semiconductive body including source- and drain wells. The tunneling pocket is formed by a self-aligned process by removing a dummy gate electrode from a gate spacer and by implanting the tunneling pocket into the semiconductive body or into an epitaxial film that is part of the semiconductive body.
    Type: Grant
    Filed: August 13, 2008
    Date of Patent: August 17, 2010
    Assignee: Intel Corporation
    Inventors: Prashant Majhi, Wilman Tsai, Jack Kavalieros, Ravi Pillarisetty, Benjamin Chu-Kung
  • Patent number: 7718498
    Abstract: A semiconductor device suitable for a source-follower circuit, provided with a gate electrode formed on a semiconductor substrate via a gate insulation film, a first conductivity type layer formed in the semiconductor substrate under a conductive portion of the gate electrode and containing a first conductivity type impurity, first source/drain regions of the first conductivity type impurity formed in the semiconductor substrate and extended from edge portions of the gate electrode, and second source/drain regions having a first conductivity type impurity concentration lower than that in the first source/drain regions and formed adjoining the gate insulation film and the first source/drain regions in the semiconductor substrate so as to overlap portions of the conductive portion of the gate electrode.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: May 18, 2010
    Assignee: Sony Corporation
    Inventor: Kazuichiro Itonaga
  • Patent number: 7687868
    Abstract: A structure for a thin film transistor LCD includes a data line extending in a first direction; a source electrode protruded for a predetermined length from the data line; a gate electrode in a second direction so as to be overlapped with a portion of the source electrode and the data line; and a drain electrode of which a portion is overlapped with the gate electrode. The drain electrode is bent according to the contours of the data line and the source so that wider channel can be obtained even without increasing the width of the gate electrode. A pixel electrode is connected to a region of the drain which is not overlapped with the gate electrode and positioned at the inner side of the region forming the data line and the gate electrode.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: March 30, 2010
    Assignee: LG Display Co., Ltd.
    Inventor: Jae-Young Chung
  • Patent number: 7675126
    Abstract: There are provided a MOSFET and a method for fabricating the same. The MOSFET includes a semiconductor substrate, a first epitaxial layer in a predetermined location of the semiconductor substrate, a second epitaxial layer doped with high concentration impurity ions on the first epitaxial layer, a gate structure on the second epitaxial layer, and source/drain regions with lightly doped drain (LDD) regions. The first epitaxial layer supplies carriers to the second epitaxial layer so that short channel effects are reduced.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: March 9, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Cho
  • Patent number: 7671425
    Abstract: In a semiconductor device, pining regions 105 are disposed along the junction portion of a drain region 102 and a channel forming region 106 locally in a channel width direction. With this structure, because the spread of a depletion layer from a drain side is restrained by the pining regions 105, a short-channel effect can be restrained effectively. Also, because a passage through which carriers move is ensured, high mobility can be maintained.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: March 2, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akiharu Miyanaga, Nobuo Kubo
  • Patent number: 7655990
    Abstract: The present invention proposes a voltage-clipping device utilizing a pinch-off mechanism formed by two depletion boundaries. A clipping voltage of the voltage-clipping device can be adjusted in response to a gate voltage; a gap of a quasi-linked well; and a doping concentration and a depth of the quasi-linked well and a well with complementary doping polarity to the quasi-linked well. The voltage-clipping device can be integrated within a semiconductor device as a voltage stepping down device in a tiny size, compared to traditional transformers.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: February 2, 2010
    Assignee: System General Corp.
    Inventors: Chiu-Chih Chiang, Chih-Feng Huang, You-Kuo Wu, Long Shih Lin
  • Patent number: 7646071
    Abstract: An improved dynamic memory cell using a semiconductor fin or body is described. Asymmetrical doping is used in the channel region, with more dopant under the back gate to improve retention without significantly increasing read voltage.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: January 12, 2010
    Assignee: Intel Corporation
    Inventors: Ibrahim Ban, Avci E. Uygar, David L. Kencke
  • Patent number: 7586137
    Abstract: A non-volatile memory device having an asymmetric channel structure is provided.
    Type: Grant
    Filed: August 9, 2005
    Date of Patent: September 8, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-chul Kim, Geum-jong Bae, In-wook Cho, Byoung-jin Lee, Sang-su Kim, Jin-hee Kim, Byou-ree Lim
  • Patent number: 7569898
    Abstract: A semiconductor device according to an example of the present invention includes a first semiconductor region of a first conductivity type, a first MIS transistor of a second conductivity type formed in the first semiconductor region, a second semiconductor region of a second conductivity type, and a second MIS transistor of a first conductivity type formed in the second semiconductor region. A first gate insulating layer of the first MIS transistor is thicker than a second gate insulating layer of the second MIS transistor, and a profile of impurities of the first conductivity type in a channel region of the second MIS transistor has peaks.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: August 4, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiko Kato, Shigeru Ishibashi, Mitsuhiro Noguchi
  • Patent number: 7560770
    Abstract: A MOSFET device comprises a semiconductor substrate having a gate area, a storage node contact area and a bit line contact area. A first groove is defined at a first depth in the gate area and a second groove is defined at a second depth in the bit line contact area. A recess gate is formed in the gate area of the semiconductor substrate including the first groove. A first junction area is formed in the storage node contact area of the semiconductor substrate. A second junction area is formed in the bit line contact area of the semiconductor substrate under the second groove.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: July 14, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Gyu-Seog Cho
  • Patent number: 7557397
    Abstract: A pixel including a substrate of a first conductivity type and having a surface, a photodetector of a second conductivity type that is opposite the first conductivity type, a floating diffusion region of the second conductivity type, a transfer region between the photodetector and the floating diffusion, a gate positioned above the transfer region and partially overlapping the photodetector, and a pinning layer of the first conductivity type extending at least across the photodetector from the gate.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: July 7, 2009
    Assignee: Aptina Imaging Corporation
    Inventors: Chintamani P. Palsule, Changhoon Choi, Fredrick P. LaMaster, John H. Stanback, Thomas E. Dungan, Thomas Joy, Homayoon Haddad
  • Patent number: 7525164
    Abstract: A process of making a strained silicon-on-insulator structure is disclosed. A recess is formed in a substrate to laterally isolate an active area. An undercutting etch forms a bubble recess under the active area to partially vertically isolate the active area. A thermal oxidation completes the vertical isolation by use of a minifield oxidation process. The recess is filled to form a shallow trench isolation structure. An active device is also disclosed that is achieved by the process. A system is also disclosed that uses the active device.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: April 28, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7355226
    Abstract: This invention is generally concerned with power semiconductors such as power MOS transistors, insulated gate by bipolar transistors (IGBTs), high voltage diodes and the like, and method for their fabrication. A power semiconductor, the semiconductor comprising a power device, said power device having first and second electrical contact regions and a drift region extending therebetween; and a semiconductor substrate mounting said device; and wherein said power semiconductor includes an electrically insulating layer between said semiconductor substrate and said power device, said electrically insulating layer having a thickness of at least 5 ?m.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: April 8, 2008
    Assignee: Cambridge Semiconductor Limited
    Inventors: Gehan Anil Joseph Amaratunga, Florin Udrea
  • Patent number: 7301197
    Abstract: A low voltage non-volatile charge storage transistor has a nanocrystal layer for permanently storing charge until erased. A subsurface charge injector generates secondary carriers by stimulating electron-hole current flowing toward the substrate, with some carriers impacting charge in nanocrystals. The charge injector is a p-n junction diode where one polarity is source and drain electrodes and the other polarity is two split doped regions in the substrate partially overlapping the active area on opposite sides of the active area. Any misalignment of masks for making the injected doped portions is inconsequential because a misalignment on one side of the active area offsets the corresponding misalignment on the other side. The injector implanted portions with overlap in the active area always have the same total area in the active area. This leads to programming reliability.
    Type: Grant
    Filed: September 21, 2004
    Date of Patent: November 27, 2007
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7301208
    Abstract: A first doped layer of a conductivity type opposite to that of source/drain regions is formed in a semiconductor substrate under a gate electrode. A second doped layer of the conductivity type opposite to that of the source/drain regions is formed in the semiconductor substrate below the first doped layer. The first doped layer has a first peak in dopant concentration distribution in the depth direction. The first peak is located at a position shallower than the junction depth of the source/drain regions. The second doped layer has a second peak in dopant concentration distribution in the depth direction. The second peak is located at a position deeper than the first peak and shallower than the junction depth of the source/drain regions. The dopant concentration at the first peak is higher than that at the second peak.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: November 27, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takato Handa, Kazumi Kurimoto
  • Patent number: 7274048
    Abstract: In accordance with the objectives of the invention a new arrangement is provided for ESD protection of mounted flip chips. In a first embodiment of the invention, the Input/Output cells and power cells are provided with ESD protection that is connected to a dedicated bump pad. The substrate of the flip chip package interconnects all of the dedicated bump pads, completing the ESD network. Under the second embodiment of the invention, the Input/Output cells and power cells are provided with ESD protection that is connected to a dedicated bump pad, a last metal layer interconnects all of the dedicated bump pads, completing the ESD network.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: September 25, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Chau-Neng Wu