Physical Configuration Of Semiconductor (e.g., Mesa, Bevel, Groove, Etc.) Patents (Class 257/618)
  • Patent number: 10037945
    Abstract: A package structure is disclosed. The package structure includes at least a lead, for delivering at least a signal; at least a routing layer, connected to the at least a lead, where at least a first hole is formed through the at least a routing layer; a die, disposed on the at least a routing layer, where at least a second hole is formed through the die, and the die generates or receives the at least a signal; and a molding cap, for covering the at least a routing layer and the die; where the at least a signal is delivered through the at least a first hole and the at least a second hole.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: July 31, 2018
    Assignee: WIN Semiconductors Corp.
    Inventors: Chih-Wen Huang, Jui-Chieh Chiu, Fan-Hsiu Huang
  • Patent number: 10002900
    Abstract: A method is provided for three-dimensional wafer scale integration of heterogeneous wafers with unequal die sizes that include a first wafer and a second wafer. The method includes selecting a periodicity for the second wafer to be manufactured that matches the periodicity of the first wafer. The method further includes manufacturing the second wafer in accordance with the selected periodicity. The method also includes placing, by a laser-based patterning device, a pattern in spaces between dies of the second wafer. The method additionally includes stacking the first wafer onto the second wafer, using a copper-to-copper bonding process to bond the first wafer to the second wafer.
    Type: Grant
    Filed: July 21, 2017
    Date of Patent: June 19, 2018
    Assignee: International Business Machines Corporation
    Inventors: Arvind Kumar, Mark Lamorey
  • Patent number: 9997363
    Abstract: A method for producing a semiconductor piece includes forming a first groove portion of a front-surface-side groove by anisotropic dry etching from a front surface of a substrate, forming a second groove portion of the front-surface-side groove, the second groove portion being located below and in communication with the first groove portion and having a width wider than a width of the first groove portion, and thinning the substrate from a back surface of the substrate up to the second groove portion. The second groove portion is formed by changing an etching condition of the anisotropic dry etching during the formation of the front-surface-side groove so that the width of the second groove portion is wider than the width of the first groove portion.
    Type: Grant
    Filed: August 6, 2015
    Date of Patent: June 12, 2018
    Assignee: FUJI XEROX CO., LTD.
    Inventors: Kenichi Ono, Hideyuki Ikoma, Shogo Komagata, Michiaki Murata, Tsutomu Otsuka
  • Patent number: 9997582
    Abstract: An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a substrate, a scan line disposed over the substrate and configured to transmit a scan signal, a data line crossing the scan line and configured to transmit a data voltage and a driving voltage line crossing the scan line and configured to transmit a driving voltage. The OLED display also includes a switching transistor connected to the scan line and the data line, a driving transistor connected to the switching transistor and including a driving gate electrode, a driving source electrode, and a driving drain electrode and an OLED electrically connected to the driving transistor. The driving source electrode at least partially overlaps the driving voltage line in the depth dimension of the OLED display so as to form an assistance capacitor.
    Type: Grant
    Filed: August 9, 2016
    Date of Patent: June 12, 2018
    Assignee: Samsung Display Co., Ltd.
    Inventors: Dong Sun Lee, Seon I Jeong
  • Patent number: 9941175
    Abstract: A method for forming fins on a semiconductor device includes etching trenches into a monocrystalline substrate to form first fins and forming a first dielectric layer at bottoms of the trenches. Second fins of a material having a different composition than the substrate are grown on sidewalls of the trenches. A second dielectric layer is formed over the second fins. The first fins are removed by etching. The second fins are processed to form fin field effect transistor devices.
    Type: Grant
    Filed: October 7, 2016
    Date of Patent: April 10, 2018
    Assignee: International Business Machines Corporation
    Inventors: Huiming Bu, Shogo Mochizuki, Tenko Yamashita
  • Patent number: 9935022
    Abstract: Systems and methods of characterizing wafer shape using coherent gradient sensing (CGS) interferometry are disclosed. The method includes measuring at least 3×106 data points on a wafer surface using a CGS system to obtain a topography map of the wafer surface. The data are collected on a wafer for pre-processing and post-processing of the wafer, and the difference calculated to obtain a measurement of the effect of the process on wafer surface shape. The process steps for processing the same wafer or subsequent wafers are controlled based on measured process-induced change in the wafer surface shape in order to improve the quality of the wafer processing.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: April 3, 2018
    Assignee: Ultratech, Inc.
    Inventor: David M. Owen
  • Patent number: 9935042
    Abstract: A semiconductor package includes a chip, a layer which is thermally coupled to the chip and which is formed from a material having a triggering temperature of greater than or equal to 200° C., starting from which an exothermic reaction takes place, and encapsulating material which at least partly covers the chip and the layer. The layer is configured in such a way and is arranged relative to the chip in such a way that, in the case of a triggered exothermic reaction of the material of the layer, at least one component of the chip is damaged on account of the temperature increase caused by the exothermic reaction.
    Type: Grant
    Filed: May 31, 2017
    Date of Patent: April 3, 2018
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Thomas Spoettl, Frank Pueschner, Guenther Ruhl, Peter Stampka
  • Patent number: 9913374
    Abstract: Printed electronic device comprising a substrate onto at least one surface of which has been applied a layer of an electrically conductive ink comprising functionalized graphene sheets and at least one binder. A method of preparing printed electronic devices is further disclosed.
    Type: Grant
    Filed: October 17, 2016
    Date of Patent: March 6, 2018
    Assignees: THE TRUSTEES OF PRINCETON UNIVERSITY, VORBECK MATERIALS CORPORATION
    Inventors: John M. Crain, John S. Lettow, Ilhan A. Aksay, Sibel Korkut, Katherine S. Chiang, Chuan-Hua Chen, Robert K. Prud'Homme
  • Patent number: 9910201
    Abstract: A manufacturing method of a mother substrate assembly includes forming a metal layer on substantially an entire surface of a transparent substrate including a cell area including a non-display area and a display area, an align key area, and a substrate area surrounding the cell area and the align key area, etching the metal layer to form an align key in the align key area, etching the metal layer to form a reflection part in the non-display area, and etching the metal layer in the display area to form a metal nanowire in the display area.
    Type: Grant
    Filed: August 22, 2016
    Date of Patent: March 6, 2018
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Seung-Won Park, Taewoo Kim, Moongyu Lee, Minhyuck Kang
  • Patent number: 9899309
    Abstract: A semiconductor substrate is provided, including a substrate body having a lateral surface, and a protruding structure extending outward from the lateral surface. The semiconductor substrate distributes stresses generated during a manufacturing process through the protruding structure, and is thus prevented from delamination or being cracked. An electronic package having the semiconductor substrate is also provided.
    Type: Grant
    Filed: March 30, 2016
    Date of Patent: February 20, 2018
    Assignee: Siliconware Precision Industries Co., Ltd.
    Inventors: Shih-Ching Chen, Shih-Liang Peng, Chieh-Lung Lai, Jia-Wei Pan, Chang-Lun Lu
  • Patent number: 9887280
    Abstract: A superjunction semiconductor device includes a first semiconductor layer doped with a first conductivity type; an active region formed on the first semiconductor layer, the active region including a drift layer; and a termination region disposed to surround the active region, the termination region including a lower edge region disposed on a side surface of the drift layer and an upper edge region disposed on the lower edge region, wherein the upper edge region includes a lower charge balance region disposed on the lower edge region, the lower charge balance region having a second conductivity type different from the first conductivity type, and an upper charge balance region disposed on the lower charge balance region, the upper charge balance region having the first conductivity type.
    Type: Grant
    Filed: August 19, 2016
    Date of Patent: February 6, 2018
    Assignee: Fairchild Korea Semiconductor Ltd.
    Inventors: Kwang-won Lee, Hye-min Kang, Jae-gil Lee
  • Patent number: 9881801
    Abstract: A polishing liquid includes abrasive grains, an additive and water, wherein the abrasive grains include a tetravalent metal element hydroxide, and produce a liquid phase with a nonvolatile content of 500 ppm or greater when an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass % has been centrifuged for 50 minutes at a centrifugal acceleration of 1.59×105 G.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: January 30, 2018
    Assignee: HITACHI CHEMICAL COMPANY, LTD.
    Inventor: Tomohiro Iwano
  • Patent number: 9842763
    Abstract: A method for manufacturing a bonded wafer using a base wafer which is an epitaxial wafer produced by a method including at least one of: (1) setting a chamfer width of a wafer for epitaxial growth to be 0.20 mm or less on an epitaxial growth side; (2) preparing a wafer for epitaxial growth having a rise shape on an epitaxial growth side periphery, thereby adjusting the wafer to have an amount of sag within a range of ?30 nm/mm2 to +10 nm/mm2 on a bonding surface side periphery; and (3) adjusting epitaxial growth conditions so a change in amount of sag before and after growth becomes a positive value, thereby adjusting the wafer to have sag within a range of ?30 nm/mm2 to +10 nm/mm2. The method can manufacture a bonded wafer with a small terrace width even when an epitaxial wafer is used as the base wafer.
    Type: Grant
    Filed: August 28, 2015
    Date of Patent: December 12, 2017
    Assignee: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Toru Ishizuka, Yuta Tamba, Eiichi Yamazaki
  • Patent number: 9824937
    Abstract: A method for semiconductor processing includes forming a first dielectric layer comprising an N-type dopant over a first plurality of fins extending above a first region of a substrate, forming a second dielectric layer comprising a P-type dopant over the first plurality of fins and a second plurality of fins extending above a second region of the substrate, the second dielectric layer overlying the first dielectric layer, and forming an isolation layer between adjacent ones of the first plurality of fins, and between adjacent ones of the second plurality of fins. The method further includes performing an implantation process using a first dopant, the implantation process changing an etching rate of the isolation layer, and recessing the isolation layer, the first dielectric layer, and the second dielectric layer, where after the recessing, the first and the second plurality of fins extend above an upper surface of the isolation layer.
    Type: Grant
    Filed: August 31, 2016
    Date of Patent: November 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsan-Chun Wang, Liang-Yin Chen
  • Patent number: 9823315
    Abstract: In a magnetic sensor, a pinned layer covers a wiring layer on a side opposite to a substrate with respect to the wiring layer and includes a bent portion having a bent shape in cross section. Free layers are arranged on a side opposite to the substrate with respect to the pinned layer. The size of the free layers in a planar direction is set to a size smaller than the size of the pinned layer in the planar direction. A magnetic field leaking from the pinned layer may form a closed loop adjacent to the substrate, that is, on a side opposite to the free layers with respect to the substrate. Therefore, influence of the magnetic field leaking from the pinned layer on the free layers can be restricted.
    Type: Grant
    Filed: July 3, 2013
    Date of Patent: November 21, 2017
    Assignee: DENSO CORPORATION
    Inventors: Toshifumi Yano, Takamoto Furuichi
  • Patent number: 9799570
    Abstract: Semiconductor devices are fabricated with vertical field effect transistor (FET) devices having uniform structural profiles. Semiconductor fabrication methods for vertical FET devices implement a process flow to fabricate dummy fins within isolation regions to enable the formation of vertical FET devices with uniform structural profiles within device regions. Sacrificial semiconductor fins are formed in the isolation regions concurrently with semiconductor fins in the device regions, to minimize/eliminate micro-loading effects from an etch process used for fin patterning and, thereby, form uniform profile semiconductor fins. The sacrificial semiconductor fins within the isolation regions also serve to minimize/eliminate non-uniform topography and micro-loading effects when planarizing and recessing conductive gate layers and, thereby. form conductive gate structures for vertical FET devices with uniform gate lengths in the device regions.
    Type: Grant
    Filed: February 13, 2017
    Date of Patent: October 24, 2017
    Assignee: International Business Machines Corporation
    Inventor: Kangguo Cheng
  • Patent number: 9755078
    Abstract: A semiconductor structure includes a first fin structure having a first strain located on a surface of a first insulator layer portion. The first fin structure includes a first doped silicon germanium alloy fin portion having a first germanium content and a silicon germanium alloy fin portion having a third germanium content. A second fin structure having a second strain is located on a surface of a second insulator layer portion. The second fin structure includes a second doped silicon germanium alloy fin portion having a second germanium content and a silicon germanium alloy fin portion having the third germanium content, wherein the first germanium content differs from the second germanium content and the third germanium content is greater than the first and second germanium contents, and wherein the first strain differs from the second strain.
    Type: Grant
    Filed: October 23, 2015
    Date of Patent: September 5, 2017
    Assignee: International Business Machines Corporation
    Inventors: Pouya Hashemi, Pranita Kerber, Christine Q. Ouyang, Alexander Reznicek
  • Patent number: 9748450
    Abstract: A method of producing an optoelectronic component includes providing an optoelectronic semiconductor chip having a mask layer arranged on an upper side of the optoelectronic semiconductor chip; providing a carrier having walls arranged on a surface of the carrier, the walls laterally limiting a receiving region; arranging an optoelectronic semiconductor chip in the receiving region, wherein a bottom side of the optoelectronic semiconductor chip faces the surface of the carrier; filling a region of the receiving region surrounding the optoelectronic semiconductor chip with an optically reflective material up to a height that lies between the upper side of the optoelectronic semiconductor chip and an upper side of the mask layer; removing the mask layer to create a free space in the optically reflective material; and introducing a wavelength-converting material into the free space.
    Type: Grant
    Filed: July 3, 2014
    Date of Patent: August 29, 2017
    Assignee: OSRAM Opto Semiconductors GmbH
    Inventors: Martin Brandl, Markus Burger
  • Patent number: 9701902
    Abstract: An etching method according to an embodiment includes forming a catalyst layer made of a noble metal on a structure made of a semiconductor, and dipping the structure in an etching solution containing hydrofluoric acid, an oxidizer, and an organic additive to remove a portion of the structure that is in contact with the catalyst layer.
    Type: Grant
    Filed: September 4, 2015
    Date of Patent: July 11, 2017
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Yusaku Asano
  • Patent number: 9653268
    Abstract: A method of manufacturing a vitreous silica crucible includes an inspection method comprising: a measurement step of measuring an infrared absorption spectrum or a Raman shift of a measurement point on an inner surface of the vitreous silica crucible; a determining step of predicting whether a surface defect region is generated or not in the measurement point based on an obtained spectrum to determine a quality of the vitreous silica crucible.
    Type: Grant
    Filed: December 13, 2016
    Date of Patent: May 16, 2017
    Assignee: SUMCO CORPORATION
    Inventors: Toshiaki Sudo, Tadahiro Sato, Ken Kitahara, Masami Ohara
  • Patent number: 9627581
    Abstract: A nitride semiconductor structure includes a nitride semiconductor layer having a principal plane and including a nitride semiconductor. The normal to the principal plane of the nitride semiconductor layer is inclined at 5 degrees or more and 17 degrees or less with respect to the [11-22] axis of the nitride semiconductor constituting the nitride semiconductor layer in the direction of the +c-axis of the nitride semiconductor. The nitride semiconductor structure may further include a substrate having a principal plane which supports the nitride semiconductor layer on the principal plane. The substrate may include any one selected from the group consisting of a nitride semiconductor, sapphire, and Si.
    Type: Grant
    Filed: May 27, 2015
    Date of Patent: April 18, 2017
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventor: Songbaek Choe
  • Patent number: 9613888
    Abstract: A semiconductor device in the preferred embodiment includes: a lead frame comprising a die pad and an electrode terminal; and at least one semiconductor chip bonded to a surface of the die pad, wherein the lead frame excluding a bottom surface thereof and the semiconductor chip are sealed by a sealing resin, and an unevenness is introduced on a bonding interface between the surface of the die pad and the semiconductor chip.
    Type: Grant
    Filed: January 10, 2014
    Date of Patent: April 4, 2017
    Assignee: Mitsubishi Electric Corporation
    Inventors: Rei Yoneyama, Hiroyuki Okabe, Nobuya Nishida, Taichi Obara
  • Patent number: 9595440
    Abstract: A method of semiconductor device fabrication including placing a substrate having a first and second features disposed thereon in a vaporizing spray deposition system. An atomizing spray head of the vaporizing spray deposition system is used to deposit a conformal polymer layer on the first and second features. The first feature having the layer of the polymer disposed thereon and having a first width. A spray trim process is performed on the first and second features having the polymer layer disposed thereon using the atomizing spray head.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: March 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Kuei-Liang Lu, Ming-Feng Shieh
  • Patent number: 9583673
    Abstract: A semiconductor light emitting device including: a substrate made of GaAs; and a semiconductor layer formed on the substrate, in which part of the substrate on a side opposite to the semiconductor layer is removed by etching so that the semiconductor light emitting device has a thickness of not more than 60 ?m.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: February 28, 2017
    Assignee: ROHM CO., LTD.
    Inventors: Tadahiro Hosomi, Kentaro Mineshita
  • Patent number: 9576796
    Abstract: A method of manufacturing a semiconductor device may include: forming an opening in an insulating layer to expose a portion of a major surface of a substrate, the substrate comprising a first semiconductor material; forming a protrusion in the opening using a first epitaxial growth process, the protrusion comprising a first portion disposed in the opening and a second portion extending out of the opening, the protrusion comprising a second semiconductor material different from the first semiconductor material; and forming the second semiconductor material on sidewalls of the second portion of the protrusion using a second epitaxial growth process different from the first epitaxial growth process.
    Type: Grant
    Filed: May 15, 2015
    Date of Patent: February 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Martin Christopher Holland, Georgios Vellianitis
  • Patent number: 9570412
    Abstract: A semiconductor device includes a first metal wiring formed on a semiconductor substrate, a first organic insulating film formed on the first metal wiring, and a second metal wiring formed to cover the first organic insulating film and having a via connected to the first metal wiring. The semiconductor device further includes a second organic insulating film formed on the first organic insulating film and having an opening to expose the second metal wiring, a bump formed on an exposed portion of the second metal wiring in the opening, and a tunnel portion formed in contact with the second metal wiring or the first organic insulating film. The tunnel portion overlaps with the second metal wiring in planar view.
    Type: Grant
    Filed: April 1, 2016
    Date of Patent: February 14, 2017
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventor: Yoshimasa Yoshioka
  • Patent number: 9570368
    Abstract: A method of forming a semiconductor package includes forming a passivation layer over a semiconductor substrate. The semiconductor substrate includes a first chip region, a second chip region and a scribe line region. The scribe line region is positioned between the first chip region and the second chip region. The method also includes forming a bump over the passivation layer on at least one of the first chip region and the second chip region. The method further includes removing a portion of the passivation layer to form a groove in the passivation layer on the scribe line region. The method additionally includes filling the groove with a molding compound layer. The molding compound layer is filled to a point that entirely fills the groove, covers the passivation layer, and covers a lower portion of the bump. The method also includes separating the first chip region from the second chip region along the scribe line region.
    Type: Grant
    Filed: September 9, 2015
    Date of Patent: February 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Ding Wang, Jung Wei Cheng, Bo-I Lee
  • Patent number: 9564361
    Abstract: In a particular embodiment, a method includes forming a second hardmask layer adjacent to a first sidewall structure and adjacent to a mandrel of a semiconductor device. A top portion of the mandrel is exposed prior to formation of the second hardmask layer. The method further includes removing the first sidewall structure to expose a first portion of a first hardmask layer. The method also includes etching the first portion of the first hardmask layer to expose a second portion of a dielectric material. The method also includes etching the second portion of the dielectric material to form a first trench. The method also includes forming a first metal structure within the first trench.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: February 7, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Stanley Seungchul Song, Choh Fei Yeap, Zhongze Wang, John Jianhong Zhu
  • Patent number: 9564318
    Abstract: Provided is a method of manufacturing a nanowire array using induced growth, in which a nitride inorganic nanowire is grown from a nitride seed by forming the nitride seed on a sapphire or silicon substrate, forming an organic nanowire pattern and a dielectric nanotunnel using the nanowire pattern as a template on the nitride seed, and using the nanotunnel as an induced growth mask.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: February 7, 2017
    Assignee: POSTECH ACADEMY-INDUSTRY FOUNDATION
    Inventors: Jun Hyuk Park, Jong Kyu Kim, Sun Yong Hwang
  • Patent number: 9557276
    Abstract: An inspection method of vitreous silica crucibles includes: a measurement step of measuring an infrared absorption spectrum or a Raman shift of a measurement point on an inner surface of the vitreous silica crucible; a determining step of predicting whether or not a surface-defect region occurs at the measurement point based on an obtained spectrum to determine a quality of the vitreous silica crucible.
    Type: Grant
    Filed: June 30, 2013
    Date of Patent: January 31, 2017
    Assignee: SUMCO CORPORATION
    Inventors: Toshiaki Sudo, Tadahiro Sato, Ken Kitahara, Masami Ohara
  • Patent number: 9524986
    Abstract: The present invention relates generally to semiconductor devices and more particularly, to a structure and method of forming a high-mobility fin field effect transistor (finFET) fin in a silicon semiconductor on insulator (SOI) substrate by trapping crystalline lattice dislocations that occur during epitaxial growth in a recess formed in a semiconductor layer. The crystalline lattice dislocations may remain trapped below a thin isolation layer, thereby reducing device thickness and the need for high-aspect ratio etching and fin formation.
    Type: Grant
    Filed: June 26, 2014
    Date of Patent: December 20, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Michael P. Chudzik, Ramachandra Divakaruni, Judson R. Holt, Arvind Kumar, Unoh Kwon
  • Patent number: 9508600
    Abstract: A method of making a semiconductor device includes depositing a hard mask on a dielectric layer on a substrate, the dielectric layer being disposed around first, second, and third gates; removing a portion of the hard mask to form an opening that exposes the first, second, and third gates; forming a patterned soft mask on the first, second, and third gates within the opening, a first portion of the patterned soft mask being disposed on the first and second gates, and a second portion of the patterned soft mask being disposed on the second and third gates; removing portions of the dielectric layer to transfer the pattern of the patterned soft mask into the dielectric layer and form first and second contact openings between the first and second gates, and third and fourth contact openings between the second and third gates; and disposing a conductive material in the contact openings.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: November 29, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Veeraraghavan S. Basker
  • Patent number: 9502946
    Abstract: Provided is an inverter module for use in an inverter-integrated motor, the inverter module being arranged at an axial end portion of a motor, the inverter module including trapezoidal power modules, each of which has a single-phase inverter circuit mounted thereon, and includes an electrical connection terminal for a power supply arranged on a short side thereof and an electrical connection terminal for the motor arranged on a long side thereof. A plurality of the trapezoidal power modules are arranged in an annular pattern so that the long side of the each of the plurality of the trapezoidal power modules faces toward an outer periphery, to thereby construct a polyphase inverter circuit.
    Type: Grant
    Filed: February 20, 2013
    Date of Patent: November 22, 2016
    Assignee: NISSAN MOTOR CO., LTD.
    Inventors: Kensuke Sasaki, Hiroyuki Nakayama, Yukio Mizukoshi
  • Patent number: 9502857
    Abstract: A wafer is formed having a plurality of laser-to-slider submount features on a first surface. An etching process is used to form scribe lines between the submounts on the first surface of the wafer. The wafer is separated at the scribe lines to form the submounts.
    Type: Grant
    Filed: September 23, 2015
    Date of Patent: November 22, 2016
    Assignee: SEAGATE TECHNOLOGY LLC
    Inventors: Roger L. Hipwell, Jr., Dadi Setiadi
  • Patent number: 9496279
    Abstract: Provided is a composite substrate having a semiconductor layer wherein diffusion of a metal is suppressed. This composite substrate has: a single crystal supporting substrate composed of an insulating oxide; a semiconductor layer, which has one main surface overlapping the supporting substrate, and which is composed of a single crystal; and a polycrystalline or amorphous intermediate layer, which is positioned between the supporting substrate and the semiconductor layer, and which has, as a main component, an element constituting the supporting substrate or an element constituting the semiconductor layer, and in which the ratio of accessory components other than the main component is less than 1 mass %.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: November 15, 2016
    Assignee: Kyocera Corporation
    Inventor: Masanobu Kitada
  • Patent number: 9484207
    Abstract: A method for forming a semiconductor device structure is provided. The method includes providing a wafer having a central portion and a peripheral portion surrounding the central portion. The method includes forming a first dielectric layer over the central portion. The first dielectric layer has first contact openings exposing conductive regions of the wafer. The method includes forming a protective layer over the peripheral portion. The method includes after forming the protective layer, performing a metal silicide process to form metal silicide structures over the conductive regions of the wafer.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: November 1, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jeng-Chang Her, Chia-Cheng Lin, Hung-Jui Chang, Yu-Sheng Su, Shu-Huei Suen
  • Patent number: 9455176
    Abstract: The present invention provides a semiconductor structure including a substrate, at least one fin group and a plurality of sub-fin structures disposed on the substrate, wherein the fin group is disposed between two sub-fin structures, and a top surface of each sub-fin structure is lower than a top surface of the fin group; and a shallow trench isolation (STI) disposed in the substrate, wherein the sub-fin structures are completely covered by the shallow trench isolation.
    Type: Grant
    Filed: March 17, 2016
    Date of Patent: September 27, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Po-Chao Tsao, Lung-En Kuo, Chien-Ting Lin, Shih-Fang Tzou
  • Patent number: 9397225
    Abstract: In the transistor including an oxide semiconductor film, which includes a film for capturing hydrogen from the oxide semiconductor film (a hydrogen capture film) and a film for diffusing hydrogen (a hydrogen permeable film), hydrogen is transferred from the oxide semiconductor film to the hydrogen capture film through the hydrogen permeable film by heat treatment. Specifically, a base film or a protective film of the transistor including an oxide semiconductor film has a stacked-layer structure of the hydrogen capture film and the hydrogen permeable film. At this time, the hydrogen permeable film is formed on a side which is in contact with the oxide semiconductor film. After that, hydrogen released from the oxide semiconductor film is transferred to the hydrogen capture film through the hydrogen permeable film by the heat treatment.
    Type: Grant
    Filed: January 22, 2015
    Date of Patent: July 19, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuki Imoto, Tetsunori Maruyama, Yuta Endo
  • Patent number: 9385192
    Abstract: Aspects of the present invention generally relate to approaches for forming a semiconductor device such as a TSV device having a “buffer zone” or gap layer between the TSV and transistor(s). The gap layer is typically filled with a low stress thin film fill material that controls stresses and crack formation on the devices. Further, the gap layer ensures a certain spatial distance between TSVs and transistors to reduce the adverse effects of temperature excursion.
    Type: Grant
    Filed: July 27, 2015
    Date of Patent: July 5, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hongliang Shen, Kyutae Na, Sandeep Gaan, Hsin-Neng Tai, Weihua Tong, Sang Cheol Han, Tae Hoon Kim, Ja Hyung Han, Haigou Huang, Changyong Xiao, Huang Liu, Seung Yeon Kim
  • Patent number: 9379056
    Abstract: The present invention provides a method for manufacturing a semiconductor structure, comprising: a) forming metal interconnect liners on a substrate; b) forming a mask layer to cover the metal interconnect liners and forming openings, which expose the metal interconnect liners, on the mask layer; c) etching and disconnecting the metal interconnect liners via the openings, thereby insulating and isolating the metal interconnect liners. The present invention further provides a semiconductor structure, which comprises a substrate and metal interconnect liners, wherein ends of the metal interconnect liners are disconnected by insulating walls formed within the substrate. The structure and the method provided by the present invention are favorable for shortening distance between ends of adjacent metal interconnect liners, saving device area and suppressing short circuits happening to metal interconnect liners.
    Type: Grant
    Filed: September 17, 2012
    Date of Patent: June 28, 2016
    Assignee: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Huicai Zhong, Qingqing Liang
  • Patent number: 9368459
    Abstract: A semiconductor device including a low dielectric constant film of which the relative dielectric constant is less than 3.5, is provided with one or more seal rings that are moisture blocking walls forming a closed loop in a plan view, and where at least one of the seal rings includes a seal ring protrusion portion in inward protruding form in the vicinity of a chip corner.
    Type: Grant
    Filed: December 23, 2014
    Date of Patent: June 14, 2016
    Assignee: ACACIA RESEARCH GROUP LLC
    Inventors: Takeshi Furusawa, Noriko Miura, Kinya Goto, Masazumi Matsuura
  • Patent number: 9362371
    Abstract: A method of producing a controllable semiconductor component includes providing a semiconductor body with a top side and a bottom side, and forming a first trench protruding from the top side into the semiconductor body and a second trench protruding from the top side into the semiconductor body. The first trench has a first width and a first depth, and the second trench has a second width greater than the first width and a second depth greater than the first depth. The method further includes forming, in a common process, an oxide layer in the first trench and in the second trench such that the oxide layer fills the first trench and electrically insulates a surface of the second trench, and removing the oxide layer from the first trench completely or at least partly such that the semiconductor body comprises an exposed first surface area arranged in the first trench.
    Type: Grant
    Filed: May 14, 2015
    Date of Patent: June 7, 2016
    Assignee: Infineon Technologies AG
    Inventors: Andreas Meiser, Markus Zundel
  • Patent number: 9355838
    Abstract: Embodiments of the invention provide an oxide TFT and a manufacturing method thereof. The oxide thin film transistor comprises: a substrate; a gate electrode formed on the substrate; a gate insulation layer covering the gate electrode; an oxide active layer formed on the gate insulation layer and comprising a source region, a drain region, and a channel between the source region and the drain region; an etching barrier layer entirely covering the active layer and the gate insulation layer; and a source electrode and a drain electrode formed on the etching barrier layer and respectively provided on both sides of the channel. The etching barrier layer is a metal layer. The oxide thin film transistor further comprises a channel protective layer, which is a non-conductive oxidation layer converted from the metal layer by performing an oxidation treatment on the metal layer.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: May 31, 2016
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Zuqiang Wang, Won Seok Kim, Zhengping Xiong
  • Patent number: 9334357
    Abstract: Polymeric reaction products of certain aromatic alcohols with certain diaryl-substituted aliphatic alcohols are useful as underlayers in semiconductor manufacturing processes.
    Type: Grant
    Filed: April 30, 2015
    Date of Patent: May 10, 2016
    Assignees: Rohm and Haas Electronic Materials LLC, Rohm and Haas Electronic Materials Korea Ltd.
    Inventors: Seon-Hwa Han, Sung Wook Cho, Hae-Kwang Pyun, Jung-June Lee, Shintaro Yamada
  • Patent number: 9324570
    Abstract: The present invention provides a method of manufacturing a semiconductor device including using a first photomask to form a sacrificial block on a hard mask layer in a first region, a first dummy pattern on the sacrificial block, a first spacer on sidewalls of the sacrificial block and a second spacer in a second region; using a second photomask to form a feature mask on the first dummy pattern and a fin cutting mask on the second spacer; and performing a fin cutting process to remove a portion of the first dummy pattern, a portion of the sacrificial block underlying the portion of the first dummy pattern and the first spacer to form a feature spacer and to remove a portion of the second spacer without being covered with the fin cutting mask to form a fin spacer.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: April 26, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: En-Chiuan Liou
  • Patent number: 9293639
    Abstract: Solid-state transducers (“SSTs”) and vertical high voltage SSTs having buried contacts are disclosed herein. An SST die in accordance with a particular embodiment can include a transducer structure having a first semiconductor material at a first side of the transducer structure, and a second semiconductor material at a second side of the transducer structure. The SST can further include a plurality of first contacts at the first side and electrically coupled to the first semiconductor material, and a plurality of second contacts extending from the first side to the second semiconductor material and electrically coupled to the second semiconductor material. An interconnect can be formed between at least one first contact and one second contact. The interconnects can be covered with a plurality of package materials.
    Type: Grant
    Filed: September 10, 2015
    Date of Patent: March 22, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Vladimir Odnoblyudov, Martin F. Schubert
  • Patent number: 9294034
    Abstract: A manufacturing method for a solar cell, wherein after a texture is formed on a principal surface of a substrate, infrared light in a predetermined wave number range is applied to a portion, on which the texture is formed, of the principal surface, a wave number at a specified transmission detection rate of the infrared light transmitted through the substrate and detected is acquired, the Tx size of the substrate is calculated on the basis of the acquired wave number using a previously obtained relationship between the wave number at the specified transmission detection rate and the Tx size, and when the calculated Tx size is within a reference value range, a collecting electrode is formed on the principal surface.
    Type: Grant
    Filed: November 21, 2014
    Date of Patent: March 22, 2016
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD
    Inventor: Hirotada Inoue
  • Patent number: 9287115
    Abstract: A method of forming a semiconductor substrate including a type III-V semiconductor material directly on a dielectric material that includes forming a trench in a dielectric layer, and forming a via within the trench extending from a base of the trench to an exposed upper surface of an underlying semiconductor including substrate. A III-V semiconductor material is formed extending from the exposed upper surface of the semiconductor substrate filling at least a portion of the trench.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: March 15, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cheng-Wei Cheng, Edward W. Kiewra, Amlan Majumdar, Uzma Rana, Devendra K. Sadana, Kuen-Ting Shiu, Yanning Sun
  • Patent number: 9279192
    Abstract: A method for producing silicon carbide substrates fit for epitaxial growth in a standard epitaxial chamber normally used for silicon wafers processing. Strict limitations are placed on any substrate that is to be processed in a chamber normally used for silicon substrates, so as to avoid contamination of the silicon wafers. To take full advantage of standard silicon processing equipment, the SiC substrates are of diameter of at least 150 mm. For proper growth of the SiC boule, the growth crucible is made to have interior volume that is six to twelve times the final growth volume of the boule. Also, the interior volume of the crucible is made to have height to width ratio of 0.8 to 4.0. Strict limits are placed on contamination, particles, and defects in each substrate.
    Type: Grant
    Filed: December 29, 2014
    Date of Patent: March 8, 2016
    Assignee: DOW CORNING CORPORATION
    Inventors: Darren Hansen, Mark Loboda, Ian Manning, Kevin Moeggenborg, Stephan Mueller, Christopher Parfeniuk, Jeffrey Quast, Victor Torres, Clinton Whiteley
  • Patent number: 9263553
    Abstract: A transistor includes a substrate, a source terminal and a drain terminal, each terminal being supported by the substrate, and the source and drain terminal being separated by a portion of the substrate, a layer of semiconductive material deposited so as to cover the portion of the substrate and to connect the source terminal to the drain terminal, a layer of dielectric material deposited so as to cover at least a portion of the layer of semiconductive material, and a layer of electrically conductive material deposited so as to cover at least a portion of the layer of dielectric material. The layer of electrically conductive material providing a gate terminal to which a potential may be applied to control a conductivity of the layer of semiconductive material connecting the source and drain terminals.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: February 16, 2016
    Assignee: Pragmatic Printing Limited
    Inventor: Richard David Price