Physical Configuration Of Semiconductor (e.g., Mesa, Bevel, Groove, Etc.) Patents (Class 257/618)
  • Publication number: 20150054135
    Abstract: The disclosure relates to a method for forming a nanoscale structure by forming a pattern on a selectively etched layer located on top of a substrate using lithography, wherein the pattern results a gap having sidewalls, performing RIE on the gap having sidewalls, wherein RIE results in the formation of a self-aligned mask on the bottom wall of the gap with unprotected regions on the bottom wall of the gap near the junctions with the sidewalls, and wet etching the gap having a self-aligned mask and unprotected regions to remove the substrate under the unprotected regions to form a nanoscale structure in the substrate. The disclosure also relates to a nanoscale structure array including a plurality of nanotrenches, nanochannels or nanofins having a width of 50 nm or less and an average variation in width of 5% or less along the entire length of each nanotrench, nanochannel or nanofin.
    Type: Application
    Filed: August 22, 2014
    Publication date: February 26, 2015
    Applicant: BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM
    Inventors: Paul S. Ho, Zhuojie Wu
  • Patent number: 8962487
    Abstract: The present invention relates to a process for fabricating microchannels on a substrate and to a substrate comprising these microchannels, the invention being especially applicable to the fabrication of microstructured substrates for microelectronic, microfluidic and/or micromechanical systems. The process includes a step (a) of producing at least one or at least two patterns 2 on the surface of a bottom layer 1 and a step (b) of depositing, on top of the bottom layer and the pattern or patterns, a layer 3 of polymer material obtained by polymerizing an organic or organometallic monomer that contains siloxane functional groups, for example tetramethyldisiloxane, in a plasma-enhanced, optionally remote plasma-enhanced, chemical vapor deposition reactor (PECVD or optionally RPECVD) reactor.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: February 24, 2015
    Assignee: Universite des Sciences et Technologies de Lille
    Inventors: Abdennour Abbas, Didier Guillochon, Bertrand Bocquet, Philippe Supiot
  • Publication number: 20150048301
    Abstract: Engineered substrates having mechanically weak structures for separating substrates from epitaxially grown semiconductor structures and associated systems and methods are disclosed herein. In several embodiments, for example, an engineered substrate can be manufactured by forming an intermediary material at an upper surface of a structural material and forming a plurality of pores in the intermediary material. The porous intermediary material and the structural material can define a handle substrate. The method can further include bonding an epitaxial formation structure on the handle substrate such that the porous intermediary material is between the epitaxial formation structure and the structural material. In various embodiments, the porous intermediary material is configured to break under mechanical stress.
    Type: Application
    Filed: August 19, 2013
    Publication date: February 19, 2015
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Oliver J. Kilbury, Martin F. Schubert, Jeremy S. Frei
  • Patent number: 8957502
    Abstract: A semiconductor device is disclosed that has enhanced its electric charge resistance. A first parallel p-n layer is disposed in an element activating part, and a second parallel p-n layer is disposed in an element peripheral edge part. An n? surface area is disposed between the second parallel p-n layer and a first principal face. Two or more p-type guard ring areas are disposed so as to be separate from each other on the first principal face side of the n? surface area. First field plate electrodes and second field plate electrodes are electrically connected to p-type guard ring areas. Second field plate electrodes cover the first field plate electrodes adjacent to each other so as to cover the first principal face between the first field plate electrodes through a second insulating film.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: February 17, 2015
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Dawei Cao, Yasuhiko Onishi
  • Publication number: 20150041959
    Abstract: A hardmask composition for forming a resist underlayer film, a process for producing a semiconductor integrated circuit device, and a semiconductor integrated circuit device, the hardmask composition including an organosilane polymer, a stabilizer, the stabilizer including methyl acetoacetate, ethyl-2-ethylacetoacetate, nonanol, decanol, undecanol, dodecanol, acetic acid, phenyltrimethoxysilane, diphenylhexamethoxydisiloxane, diphenylhexaethoxydisiloxane, dioctyltetramethyldisiloxane, tetramethyldisiloxane, decamethyltetrasiloxane, dodecamethylpentasiloxane, hexamethyldisiloxane, or mixtures thereof, and a solvent, wherein the solvent includes acetone, tetrahydrofuran, benzene, toluene, diethyl ether, chloroform, dichloromethane, ethyl acetate, propylene glycol methyl ether acetate, propylene glycol ethyl ether acetate, propylene glycol propyl ether acetate, ethyl lactate, ? butyrolactone, methyl isobutyl ketone, or mixtures thereof, the solvent is present in an amount of about 70 to about 99.
    Type: Application
    Filed: October 24, 2014
    Publication date: February 12, 2015
    Inventors: Sang Ran KOH, Sang Kyun KIM, Sang Hak LIM, Mi Young KIM, Hui Chan YUN, Do Hyeon KIM, Dong Seon UH, Jong Seob KIM
  • Publication number: 20150041958
    Abstract: Semiconductor devices and method for forming the same. Methods for forming fin structures include forming a protective layer over a set of mandrels in a variable fin pitch region; forming first sidewalls around a set of mandrels in a uniform fin pitch region; removing the set of mandrels in the uniform fin pitch region; removing the protective layer; forming second sidewalls around the first sidewalls in the uniform fin pitch region and the mandrels in the variable fin pitch region; removing the first sidewalls and the mandrels; and etching an underlying layer around the second sidewalls.
    Type: Application
    Filed: August 7, 2013
    Publication date: February 12, 2015
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Matthew E. Colburn, Bruce B. Doris, Ali Khakifirooz
  • Patent number: 8952373
    Abstract: A hardmask composition includes a monomer represented by the following Chemical Formula 1 and an aromatic ring-containing polymer,
    Type: Grant
    Filed: September 26, 2013
    Date of Patent: February 10, 2015
    Assignee: Cheil Industries, Inc.
    Inventors: Yoo-Jeong Choi, Yun-Jun Kim, Joon-Young Moon, Bum-Jin Lee, Chung-Heon Lee, Youn-Jin Cho
  • Patent number: 8952496
    Abstract: A wafer surface of a semiconductor wafer to be used as a device active region is mirror-polished, and an outer peripheral portion of the mirror-polished wafer surface is further polished, thereby forming an edge roll-off region between the device active region of the wafer surface and a beveled portion formed at the wafer edge. The edge roll-off region has a specific roll-off shape corresponding to an edge roll-off of the oxide film to be formed in a device fabrication process. Thus, a semiconductor wafer can be provided in which reduction in the thickness of an oxide film on the outer peripheral portion of the wafer in a CMP process can be prevented while maintaining high flatness of the wafer surface.
    Type: Grant
    Filed: May 29, 2014
    Date of Patent: February 10, 2015
    Assignee: Sumco Corporation
    Inventor: Sumihisa Masuda
  • Publication number: 20150035124
    Abstract: Methods for patterning integrated circuit (IC) device arrays employing an additional mask process for improving center-to-edge CD uniformity are disclosed. In one embodiment, a repeating pattern of features is formed in a masking layer over a first region of a substrate. Then, a blocking mask is applied over the features in the masking layer. The blocking mask is configured to differentiate array regions of the first region from peripheral regions of the first region. Subsequently, the pattern of features in the array regions is transferred into the substrate. In the embodiment, an etchant can be uniformly introduced to the masking layer because there is no distinction of center/edge in the masking layer. Thus, CD uniformity can be achieved in arrays which are later defined.
    Type: Application
    Filed: October 17, 2014
    Publication date: February 5, 2015
    Inventor: David Kewley
  • Patent number: 8946745
    Abstract: The present invention is related to a supporting substrate for manufacturing vertically-structured semiconductor light emitting device and a vertically-structured semiconductor light emitting device using the same, which minimize damage and breaking of a multi-layered light-emitting structure thin film separated from a sapphire substrate during the manufacturing process, thereby improving the whole performance of the semiconductor light emitting device.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: February 3, 2015
    Assignee: LG Innotek Co., Ltd.
    Inventor: Tae Yeon Seong
  • Patent number: 8946772
    Abstract: A substrate for epitaxial growth of the present invention comprises: a single crystal part comprising a material different from a GaN-based semiconductor at least in a surface layer part; and an uneven surface, as a surface for epitaxial growth, comprising a plurality of convex portions arranged so that each of the convex portions has three other closest convex portions in directions different from each other by 120 degrees and a plurality of growth spaces, each of which is surrounded by six of the convex portions, wherein the single crystal part is exposed at least on the growth space, which enables a c-axis-oriented GaN-based semiconductor crystal to grow from the growth space.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: February 3, 2015
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Hiroaki Okagawa, Hiromitsu Kudo, Teruhisa Nakai, Seong-Jin Kim
  • Patent number: 8946055
    Abstract: A laser processing method is provided, which, even when a substrate formed with a laminate part including a plurality of functional devices is thick, can cut the substrate and laminate part with a high precision. This laser processing method irradiates a substrate 4 with laser light L while using a rear face 21 as a laser light entrance surface and locating a light-converging point P within the substrate 4, so as to form modified regions 71, 72, 73 within the substrate 4. Here, the HC modified region 73 is formed at a position between the segmented modified region 72 closest to the rear face 21 and the rear face 21, so as to generate a fracture 24 extending along a line to cut from the HC modified region 73 to the rear face 21.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: February 3, 2015
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Takeshi Sakamoto, Kenshi Fukumitsu
  • Patent number: 8946865
    Abstract: A gallium and nitrogen containing substrate structure includes a handle substrate member having a first surface and a second surface and a transferred thickness of gallium and nitrogen material. The structure has a gallium and nitrogen containing active region grown overlying the transferred thickness and a recessed region formed within a portion of the handle substrate member. The substrate structure has a conductive material formed within the recessed region configured to transfer thermal energy from at least the transferred thickness of gallium and nitrogen material.
    Type: Grant
    Filed: June 11, 2014
    Date of Patent: February 3, 2015
    Assignee: Soraa, Inc.
    Inventors: Mark P. D'Evelyn, Arpan Chakraborty, William D. Houck
  • Patent number: 8946867
    Abstract: A semiconductor component includes a two-sided semiconductor body, an inner zone with a basic doping of a first conduction type, and two semiconductor zones. The first zone, disposed between the first side and inner zone, is of the first conduction type with a doping concentration higher than that of the inner zone. The second zone, disposed between the second side and inner zone, is of a second conduction type complementary to the first type with a doping concentration higher than that of the inner zone. At least one first edge chamfer extends at a first angle to the extension plane of the transition from the second zone to the inner zone at least along the edge of the second zone and inner zone. At least one buried zone of the second conduction type is provided between the first zone and inner zone, and extends substantially parallel to the first zone.
    Type: Grant
    Filed: September 6, 2012
    Date of Patent: February 3, 2015
    Assignee: Infineon Technologies Bipolar GmbH & Co. KG
    Inventors: Reiner Barthelmess, Hans-Joachim Schulze, Uwe Kellner-Werdehausen, Josef Lutz, Thomas Basler
  • Patent number: 8946866
    Abstract: An article including a microelectronic substrate is provided as an article usable during the processing of the microelectronic substrate. Such article includes a microelectronic substrate having a front surface, a rear surface opposite the front surface and a peripheral edge at boundaries of the front and rear surfaces. The front surface is a major surface of the article. A removable annular edge extension element having a front surface, a rear surface and an inner edge extending between the front and rear surfaces has the inner edge joined to the peripheral edge of the microelectronic substrate. In such way, a continuous surface is formed which includes the front surface of the edge extension element extending laterally from the peripheral edge of the microelectronic substrate and the front surface of the microelectronic substrate, the continuous surface being substantially co-planar and flat where the peripheral edge is joined to the inner edge.
    Type: Grant
    Filed: June 6, 2012
    Date of Patent: February 3, 2015
    Assignee: International Business Machines Corporation
    Inventors: Charles W. Koburger, III, Steven J. Holmes, David V. Horak, Kurt R. Kimmel, Karen E. Petrillo, Christopher F. Robinson
  • Patent number: 8941214
    Abstract: Semiconductor devices having necked semiconductor bodies and methods of forming semiconductor bodies of varying width are described. For example, a semiconductor device includes a semiconductor body disposed above a substrate. A gate electrode stack is disposed over a portion of the semiconductor body to define a channel region in the semiconductor body under the gate electrode stack. Source and drain regions are defined in the semiconductor body on either side of the gate electrode stack. Sidewall spacers are disposed adjacent to the gate electrode stack and over only a portion of the source and drain regions. The portion of the source and drain regions under the sidewall spacers has a height and a width greater than a height and a width of the channel region of the semiconductor body.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: January 27, 2015
    Assignee: Intel Corporation
    Inventor: Bernhard Sell
  • Publication number: 20150021744
    Abstract: A method for patterning a layer increases the density of features formed over an initial patterning layer using a series of self-aligned spacers. A layer to be etched is provided, then an initial sacrificial patterning layer, for example formed using optical lithography, is formed over the layer to be etched. Depending on the embodiment, the patterning layer may be trimmed, then a series of spacer layers formed and etched. The number of spacer layers and their target dimensions depends on the desired increase in feature density. An in-process semiconductor device and electronic system is also described.
    Type: Application
    Filed: October 6, 2014
    Publication date: January 22, 2015
    Inventors: Baosuo Zhou, Mirzafer K. Abatchev, Ardavan Niroomand, Paul A. Morgan, Shuang Meng, Joseph Neil Greely, Brian J. Coppa
  • Publication number: 20150021743
    Abstract: Substrates (wafers) with uniform backside roughness and methods of manufacture are disclosed. The method includes forming a material on a backside of a wafer. The method further includes patterning the material to expose portions of the backside of the wafer. The method further includes roughening the backside of the wafer through the patterned material to form a uniform roughness.
    Type: Application
    Filed: July 19, 2013
    Publication date: January 22, 2015
    Inventors: Shawn A. ADDERLY, Jeffrey P. GAMBINO, Max L. LIFSON, Matthew D. MOON, William J. MURPHY, Timothy D. SULLIVAN, David C. THOMAS
  • Publication number: 20150021741
    Abstract: A method is disclosed that includes the steps outlined below. An epitaxial layer is formed on a first semiconductor substrate. At least one implant species is implanted between the epitaxial layer and the first semiconductor substrate to form an ion-implanted layer. The epitaxial layer is bonded to a bonding oxide layer of a second semiconductor substrate. The first semiconductor substrate is separated from the ion-implanted layer.
    Type: Application
    Filed: July 18, 2013
    Publication date: January 22, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., LTD.
    Inventor: Jing-Cheng Lin
  • Publication number: 20150021742
    Abstract: Methods of forming a power semiconductor device having an edge termination are provided in which the power semiconductor device that has a drift region of a first conductivity type is formed on a substrate. A junction termination extension is formed on the substrate adjacent the power semiconductor device, the junction termination extension including a plurality of junction termination zones that are doped with dopants having a second conductivity type. The junction termination zones have different effective doping concentrations. A dopant activation process is performed to activate at least some of the dopants in the junction termination zones. An electrical characteristic of the power semiconductor device is measured. Then, the junction termination extension is etched in order to reduce the effective doping concentration within the junction termination extension.
    Type: Application
    Filed: July 19, 2013
    Publication date: January 22, 2015
    Inventors: Edward Robert Van Brunt, Vipindas Pala, Lin Cheng, Anant Kumar Agarwal
  • Publication number: 20150014819
    Abstract: Provided is an underlying film composition for imprints showing a good adhesiveness with a base and capable of reducing failure or defect of resist pattern. The underlying film composition for imprints comprising a curable main component and a urea-based crosslinking agent.
    Type: Application
    Filed: September 24, 2014
    Publication date: January 15, 2015
    Applicant: FUJIFILM CORPORATION
    Inventors: Akiko HATTORI, Hirotaka KITAGAWA, Yuichiro ENOMOTO, Tadashi OOMATSU
  • Patent number: 8928118
    Abstract: The present invention discloses methods and apparatuses for the separations of IC fabrication and assembling of separated IC components to form complete IC structures. In an embodiment, the present fabrication separation of an IC structure into multiple discrete components can take advantages of dedicated IC fabrication facilities and achieve more cost effective products. In another embodiment, the present chip assembling provides high density interconnect wires between bond pads, enabling cost-effective assembling of small chip components. In an aspect, the present process provides multiple interconnect wires in the form of a ribbon between the bond pads, and then subsequently separates the ribbon into multiple individual interconnect wires.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: January 6, 2015
    Inventor: Jayna Sheats
  • Publication number: 20150001681
    Abstract: A method includes holding bonded wafers by a wafer holding module. A gap between the bonded wafers along an edge is filled with a protection material.
    Type: Application
    Filed: July 1, 2013
    Publication date: January 1, 2015
    Inventors: Chen-Fa Lu, Yeur-Luen Tu, Shu-Ju Tsai, Cheng-Ta Wu, Chia-Shiung Tsai, Xiaomeng Chen
  • Patent number: 8921979
    Abstract: A method for producing a semiconductor layer is disclosed. One embodiment provides for a semiconductor layer on a semiconductor substrate containing oxygen. Crystal defects are produced at least in a near-surface region of the semiconductor substrate. A thermal process is carried out wherein the oxygen is taken up at the crystal defects. The semiconductor layer is deposited epitaxially over the near-surface region of the semiconductor substrate.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: December 30, 2014
    Assignee: Infineon Technologies Austria AG
    Inventors: Hans-Joachim Schulze, Helmut Strack, Hans-Joerg Timme, Rainer Winkler
  • Publication number: 20140374883
    Abstract: A semiconductor package, comprising: a semiconductor substrate; a mold layer on the semiconductor substrate; and a marking formed on a surface of the mold layer, the marking comprising dot markings substantially discontinuously arranged in vertical and horizontal directions of a display region. An effective area of the dot markings within a unit display region of the marking is smaller than about half a total area of the unit display region.
    Type: Application
    Filed: March 4, 2014
    Publication date: December 25, 2014
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: CHOONGBIN YIM, HYEONGMUN KANG, TAESUNG PARK, EUNCHUL AHN
  • Publication number: 20140374884
    Abstract: To provide a photo-curable composition for imprints which can ensure high ratio of mold filling and low defect density during mold releasing, and can provide a resist material with high etching durability. A photo-curable composition for imprints comprising a monofunctional monomer, a polyfunctional monomer and a photo-polymerization initiator, having a viscosity at 25° C. of 15 mPa·s or smaller, an Ohnishi parameter of 3.0 or smaller, and a crosslink density calculated by (Formula 1) of 0.6 mmol/cm3 or larger; Crosslink density={?(Ratio of mixing of polyfunctional monomer (parts by mass)*Number of functional groups of polyfunctional monomer/Molecular weight of polyfunctional monomer)}/Specific gravity.
    Type: Application
    Filed: September 11, 2014
    Publication date: December 25, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Hirotaka KITAGAWA, Masafumi YOSHIDA
  • Publication number: 20140374882
    Abstract: A semiconductor device includes a semiconductor portion with one or more impurity zones of the same conductivity type. A first electrode structure is electrically connected to the one or more impurity zones in a cell area of the semiconductor portion. At least in an edge area surrounding the cell area a recombination center density in the semiconductor portion is higher than in an active portion of the cell area.
    Type: Application
    Filed: June 21, 2013
    Publication date: December 25, 2014
    Inventors: Ralf Siemieniec, Hans-Joachim Schulze, Stefan Gamerith, Hans Weber
  • Patent number: 8916955
    Abstract: The present disclosure relates to a layout arrangement and method to minimize the area overhead associated with a transition between a semiconductor device array and background features. A nearly buffer zone free layout methodology is proposed, wherein an array of square unit cells with a first pattern density value is surrounded by background features with a second pattern density value. A difference between the first pattern density value and second pattern density value results in a density gradient at an edge of the array. Unit cells on the edge of the array which are impacted by a shape tolerance stress resulting from the density gradient are identified and reconfigured from a square shape aspect ratio to a rectangular shape aspect ratio with along axis of the unit cell oriented in a direction parallel to the variation induced shape tolerance stress to alleviate the variation.
    Type: Grant
    Filed: January 21, 2013
    Date of Patent: December 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Chow Peng, Jaw-Juinn Horng, Szu-Lin Liu, Po-Zeng Kang
  • Patent number: 8916954
    Abstract: The invention provides a method of forming an electronic device from a lamina that has a coefficient of thermal expansion that is matched or nearly matched to a constructed metal support. In some embodiments the method comprises implanting the top surface of a donor body with an ion dosage to form a cleave plane followed by exfoliating a lamina from the donor body. After exfoliating the lamina, a flexible metal support that has a coefficient of thermal expansion with a value that is within 10% of the value of the coefficient of thermal expansion of the lamina is constructed on the lamina. In some embodiments the coefficients of thermal expansion of the metal support and the lamina are within 10% or within 5% of each other between the temperatures of 100 and 600° C.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: December 23, 2014
    Assignee: GTAT Corporation
    Inventors: Venkatesan Murali, Thomas Edward Dinan, Jr., Steve Bababyan, Gopal Prabhu
  • Publication number: 20140367833
    Abstract: A SIT method includes the following steps. An SIT mandrel material is deposited onto a substrate and formed into a plurality of SIT mandrels. A spacer material is conformally deposited onto the substrate covering a top and sides of each of the SIT mandrels. Atomic Layer Deposition (ALD) is used to deposit the SIT spacer at low temperatures. The spacer material is selected from the group including a metal, a metal oxide, a metal nitride and combinations including at least one of the foregoing materials. The spacer material is removed from all but the sides of each of the SIT mandrels to form SIT sidewall spacers on the sides of each of the SIT mandrels. The SIT mandrels are removed selective to the SIT sidewall spacers revealing a pattern of the SIT sidewall spacers. The pattern of the SIT sidewall spacers is transferred to the underlying stack or substrate.
    Type: Application
    Filed: June 12, 2013
    Publication date: December 18, 2014
    Inventors: Markus Brink, Michael A. Guillorn, Sebastian U. Engelmann, Hiroyuki Miyazoe, Adam M. Pyzyna, Jeffrey W. Sleight
  • Publication number: 20140367834
    Abstract: A process of fabricating a nanostructure is disclosed. The process is effected by growing the nanostructure in situ within a trench formed in a substrate and having therein a metal catalyst selected for catalyzing the nanostructure growth, under the conditions in which the growth is guided by the trench. Also disclosed are nanostructure systems comprising a nanostructure, devices containing such systems and uses thereof.
    Type: Application
    Filed: January 1, 2012
    Publication date: December 18, 2014
    Applicant: Romot at Tel-Aviv University Ltd.
    Inventors: Fernando Patolsky, Alexander Pevzner, Yoni Engel, Roey Elnathan, Alexander Tsukernik, Zahava Barkay
  • Publication number: 20140367687
    Abstract: An etch mask is formed on a substrate. The substrate is positioned in an enclosure configured to shield an interior of the enclosure from electromagnetic fields exterior to the enclosure; and the substrate is etched in the enclosure, including removing a portion of the substrate to form a structure having at least a portion that is isolated and/or suspended over the substrate.
    Type: Application
    Filed: January 4, 2013
    Publication date: December 18, 2014
    Inventors: Marko Loncar, Mikhail D. Lukin, Michael J. Burek, Nathalie de Leon, Brendan Shields
  • Patent number: 8912033
    Abstract: Provided is a method of fabricating a light-emitting diode (LED) device. The method includes providing a substrate having opposite first and second sides. A semiconductor layer is formed on the first side of the substrate. The method includes forming a photoresist layer over the semiconductor layer. The method includes patterning the photoresist layer into a plurality of photoresist components. The photoresist components are separated by openings. The method includes filling the openings with a plurality of thermally conductive components. The method includes separating the semiconductor layer into a plurality of dies using a radiation process that is performed to the substrate from the second side. Each of the first regions of the substrate is aligned with one of the conductive components.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: December 16, 2014
    Assignee: TSMC Solid State Lighting Ltd.
    Inventors: Hsing-Kuo Hsia, Chih-Kuang Yu, Gordon Kuo
  • Patent number: 8907456
    Abstract: A method of fabricating integrated circuits is described. A multi-material hard mask is formed on an underlying layer to be patterned. In a first patterning process, portions of the first material of the hard mask are etched, the first patterning process being selective to etch the first material over the second material. In a second patterning process, portions of the second material of the hard mask are etched, the second patterning process being selective to etch the second material over the first material. The first and second patterning processes forming a desired pattern in the hard mask which is then transferred to the underlying layer.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: December 9, 2014
    Assignee: Olambda, Inc.
    Inventor: Haiqing Wei
  • Patent number: 8907445
    Abstract: A film formation substrate (200) is a film formation substrate having a plurality of vapor deposition regions (24R and 24G) (i) which are arranged along a predetermined direction and (ii) in which respective vapor-deposited films (23R and 23G) are provided. The vapor-deposited film (24R) has inclined side surfaces 23s which are inclined with respect to a direction normal to the film formation substrate (200). A width, in the predetermined direction, of the vapor-deposited film (23R) is larger than the sum of (i) a width, in the predetermined direction, of the vapor deposition region (24R) and (ii) a width, in the predetermined direction, of a region (29) between the vapor deposition region (24R) and the vapor deposition region (24G).
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: December 9, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tohru Sonoda, Shinichi Kawato, Satoshi Inoue, Satoshi Hashimoto
  • Patent number: 8907417
    Abstract: Semiconductor devices are provided. The semiconductor device includes word lines on a semiconductor substrate, common gates connected to each of the word lines and vertically disposed in the semiconductor substrate, buried bit lines intersecting the word lines at a non-right angle in a plan view, and a pair of vertical transistors sharing each of the common gates. The pair of vertical transistors are disposed at both sides of one of the word lines, respectively. Further, the pair of vertical transistors are electrically connected to two adjacent ones of the buried bit lines, respectively. Electronic systems including the semiconductor device and related methods are also provided.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: December 9, 2014
    Assignee: SK Hynix Inc.
    Inventor: Ki Ho Yang
  • Patent number: 8906776
    Abstract: A method for forming an electronic circuit on a strained semiconductor substrate, including the steps of: forming, on a first surface of a semiconductor substrate, electronic components defining electronic chips to be sawn; and forming at least portions of a layer of a porous semiconductor material on the side of a second surface of the semiconductor substrate, opposite to the first surface, to bend the semiconductor substrate.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: December 9, 2014
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Daniel Bensahel, Aomar Halimaoui
  • Publication number: 20140352771
    Abstract: A single-crystalline silicon substrate with bowl-shaped surface structures and a manufacturing method of the same are provided. The manufacturing method comprises a sandblasting treatment for forming a textured structure on one surface of the single-crystalline silicon substrate and an etching process for etching the textured structure into plural bowl-shaped surface structures, thereby to manufacture the bowl-shaped surface structures with anti-reflection effect and to lower the reflection ratio of the single-crystalline silicon substrate. Without the need of coating an anti-reflection film, the single-crystalline silicon substrate with bowl-shaped textured surface structures has a very low reflection ratio of less than 2% in the 400-800 nm wavelength visible light region, and can be used as efficient silicon-based solar cell substrate.
    Type: Application
    Filed: July 9, 2013
    Publication date: December 4, 2014
    Inventors: Shao-Liang CHENG, Cheng-Hsuan CHUNG
  • Publication number: 20140353800
    Abstract: A stack of an organic planarization layer (OPL) and a template layer is provided over a substrate. The template layer is patterned to induce self-assembly of a copolymer layer to be subsequently deposited. A copolymer layer is deposited and annealed to form phase-separated copolymer blocks. An original self-assembly pattern is formed by removal of a second phase separated polymer relative to a first phase separated polymer. The original pattern is transferred into the OPL by an anisotropic etch, and the first phase separated polymer and the template layer are removed. A spin-on dielectric (SOD) material layer is deposited over the patterned OPL that includes the original pattern to form SOD portions that fill trenches within the patterned OPL. The patterned OPL is removed selective to the SOD portions, which include a complementary pattern. The complementary pattern of the SOD portions is transferred into underlying layers by an anisotropic etch.
    Type: Application
    Filed: September 5, 2012
    Publication date: December 4, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael A. GUILLORN, Steven J. HOLMES, Chi-Chun LIU, Hiroyuki MIYAZOE, Hsinyu TSAI
  • Publication number: 20140353801
    Abstract: Embodiments herein provide approaches for device isolation in a complimentary metal-oxide fin field effect transistor. Specifically, a semiconductor device is formed with a retrograde doped layer over a substrate to minimize a source to drain punch-through leakage. A set of replacement fins is formed over the retrograde doped layer, each of the set of replacement fins comprising a high mobility channel material (e.g., silicon, or silicon-germanium). The retrograde doped layer may be formed using an in situ doping process or a counter dopant retrograde implant. The device may further include a carbon liner positioned between the retrograde doped layer and the set of replacement fins to prevent carrier spill-out to the replacement fins.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 4, 2014
    Inventors: Ajey P. Jacob, Murat K. Akarvardar, Steven J. Bentley, Toshiharu Nagumo, Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz
  • Patent number: 8900971
    Abstract: The invention provides a method for manufacturing a bonded substrate by bonding a base substrate to a bond substrate through an insulator film, including: a porous layer forming step of partially forming a porous layer or forming a porous layer whose thickness partially varies on a bonding surface of the base substrate; an insulator film forming step of changing the porous layer into the insulator film, and thereby forming the insulator film whose thickness partially varies on the bonding surface of the base substrate; a bonding step of bonding the base substrate to the bond substrate through the insulator film; and a film thickness reducing step of reducing a film thickness of the bonded bond substrate to form a thin-film layer. As a result, there is provided the method for manufacturing a bonded substrate that enables obtaining an insulator film whose thickness partially varies with use of a simple method.
    Type: Grant
    Filed: January 6, 2012
    Date of Patent: December 2, 2014
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Tsuyoshi Ohtsuki, Wei Feng Qu, Fumio Tahara, Yuuki Ooi, Kyoko Mitani
  • Publication number: 20140346640
    Abstract: A metal layer is deposited over a material layer. The metal layer includes an elemental metal that can be converted into a dielectric metal-containing compound by plasma oxidation or nitridation. A hard mask portion is formed over the metal layer. A plasma impermeable spacer is formed on at least one first sidewall of the hard mask portion, while at least one second sidewall of the hard mask portion is physically exposed. Plasma oxidation or nitridation is performed to convert physically exposed surfaces of the metal layer into the dielectric metal-containing compound. A sequence of a surface pull back of the hard mask portion, cavity etching, another surface pull back, and conversion of top surfaces into the dielectric metal-containing compound are repeated to form a hole pattern having a spacing that is not limited by lithographic minimum dimensions.
    Type: Application
    Filed: August 12, 2014
    Publication date: November 27, 2014
    Applicant: International Business Machines Corporation
    Inventors: Chiahsun Tseng, David V. Horak, Chun-chen Yeh, Yunpeng Yin
  • Patent number: 8895364
    Abstract: A structured wafer that includes through passages is used for device processing. Each of the through passages extends from or along one surface of the structured wafer and forms a pattern on a top surface area of the structured wafer. The top surface of the structured wafer is bonded to a device layer via a release layer. Devices are processed on the device layer, and are released from the structured wafer using etchant. The through passages within the structured wafer allow the etchant to access the release layer to thereby remove the release layer.
    Type: Grant
    Filed: April 2, 2014
    Date of Patent: November 25, 2014
    Assignee: Sandia Corporation
    Inventors: Murat Okandan, Gregory N. Nielson
  • Publication number: 20140338744
    Abstract: The invention relates to a process for texturing the surface of a silicon substrate, comprising a step of exposing said surface to an MDECR plasma generated, at least from argon, using between 1.5 W/cm2 and 6.5 W/cm2 of plasma power in a matrix distributed electron cyclotron resonance plasma source, the substrate bias being between 100 V and 300 V.
    Type: Application
    Filed: December 20, 2012
    Publication date: November 20, 2014
    Inventors: Nada Habka, Pavel Bulkin, Pere Roca i Cabarrocas
  • Publication number: 20140339681
    Abstract: The invention relates to a method for fabricating a composite structure comprising a layer to be separated by irradiation, the method comprising the formation of a stack containing: a support substrate formed from a material that is at least partially transparent at a determined wavelength; a layer to be separated; and a separation layer interposed between the support substrate and the layer to be separated, the separation layer being adapted to be separated by exfoliation under the action of radiation having a wavelength corresponding to the determined wavelength. Furthermore, the method comprises, during the step for forming the composite step, a treatment step modifying the optical properties in reflection at the interface between the support substrate and the separation layer or on the upper face of the support substrate.
    Type: Application
    Filed: July 18, 2012
    Publication date: November 20, 2014
    Applicant: SOITEC
    Inventors: Christophe Figuet, Christophe Gourdel
  • Patent number: 8891581
    Abstract: A multi-wavelength semiconductor laser device includes a block having a V-shaped groove with two side faces extending in a predetermined direction; and laser diodes with different light emission wavelengths mounted on the side faces of the groove in the block so that their laser beams are emitted in the predetermined direction.
    Type: Grant
    Filed: January 29, 2014
    Date of Patent: November 18, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventor: Yuji Okura
  • Patent number: 8889525
    Abstract: A substrate dividing method which can thin and divide a substrate while preventing chipping and cracking from occurring. This substrate dividing method comprises the steps of irradiating a semiconductor substrate 1 having a front face 3 formed with functional devices 19 with laser light while positioning a light-converging point within the substrate, so as to form a modified region including a molten processed region due to multiphoton absorption within the semiconductor substrate 1, and causing the modified region including the molten processed region to form a starting point region for cutting; and grinding a rear face 21 of the semiconductor substrate 1 after the step of forming the starting point region for cutting such that the semiconductor substrate 1 attains a predetermined thickness.
    Type: Grant
    Filed: July 29, 2013
    Date of Patent: November 18, 2014
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Yoshimaro Fujii, Fumitsugu Fukuyo, Kenshi Fukumitsu, Naoki Uchiyama
  • Publication number: 20140332929
    Abstract: Various embodiments include semiconductor structures. In one embodiment, the semiconductor structure includes a chip having a body having a polyhedron shape with a pair of opposing sides; and a solder member extending along a side that extends between the pair of opposing sides of the polyhedron shape.
    Type: Application
    Filed: May 9, 2014
    Publication date: November 13, 2014
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Timothy J. Dalton, Mukta G. Farooq, John A. Fitzsimmons, Louis L. Hsu
  • Patent number: 8884371
    Abstract: An object is to provide an SOI substrate provided with a semiconductor layer which can be used practically even when a glass substrate is used as a base substrate. Another object is to provide a semiconductor device having high reliability using such an SOI substrate. An altered layer is formed on at least one surface of a glass substrate used as a base substrate of an SOI substrate to form the SOI substrate. The altered layer is formed on at least the one surface of the glass substrate by cleaning the glass substrate with solution including hydrochloric acid, sulfuric acid or nitric acid. The altered layer has a higher proportion of silicon oxide in its composition and a lower density than the glass substrate.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: November 11, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tetsuya Kakehata, Hideto Ohnuma, Yoshiaki Yamamoto, Kenichiro Makino
  • Publication number: 20140327113
    Abstract: The invention relates to a process for assembling a first element that includes at least one first wafer, substrate or at least one chip, and a second element of at least one second wafer or substrate, involving the formation of a surface layer, known as a bonding layer, on each substrate, at least one of the bonding layers being formed at a temperature less than or equal to 300° C.; conducting a first annealing, known as degassing annealing, of the bonding layers, before assembly, at least partly at a temperature at least equal to the subsequent bonding interface strengthening temperature but below 450° C.; forming an assembling of the substrates by bringing into contact the exposed surfaces of the bonding layers, and conducting an annealing of the assembled structure at a bonding interface strengthening temperature below 450° C.
    Type: Application
    Filed: July 17, 2014
    Publication date: November 6, 2014
    Inventor: Gweltaz Gaudin