Device Having Semiconductor Body Comprising Silicon Carbide (sic) (epo) Patents (Class 257/E21.054)
  • Patent number: 7705362
    Abstract: MOS channel devices and methods of fabricating such devices having a hybrid channel are provided. Exemplary devices include vertical power MOSFETs that include a hybrid well region of silicon carbide and methods of fabricating such devices are provided. The hybrid well region may include an implanted p-type silicon carbide well portion in a p-type silicon carbide epitaxial layer, an implanted p-type silicon carbide contact portion that contacts the implanted p-type silicon carbide well portion and extends to a surface of the p-type epitaxial layer and/or an epitaxial p-type silicon carbide portion, at least a portion of the epitaxial p-type silicon carbide well portion corresponding to a p-type channel region of the MOSFET.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: April 27, 2010
    Assignee: Cree, Inc.
    Inventors: Mrinal Kanti Das, Sei-Hyung Ryu
  • Patent number: 7700467
    Abstract: Exemplary embodiments provide methods for implementing an ultra-high temperature (UHT) anneal on silicon germanium (SiGe) semiconductor materials by co-implanting carbon into the SiGe material prior to the UHT anneal. Specifically, the carbon implantation can be employed to increase the melting point of the SiGe material such that an ultra high temperature can be used for the subsequent anneal process. Wafer warpage can then be reduced during the UHT anneal process and potential lithographic mis-alignment for subsequent processes can be reduced. Exemplary embodiments further provide an inline control method, wherein the wafer warpage can be measured to determine the litho-mis-alignment and thus to control the fabrication process. In various embodiments, the disclosed methods can be employed for the fabrication of source/drain extension regions and/or source/drain regions of transistor devices, and/or for the fabrication of base regions of bipolar transistors.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: April 20, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Scott Gregory Bushman, Periannan Chidambaram
  • Publication number: 20100072472
    Abstract: Nanostructures with 0, 1, 2 and 3 dimensions, with negative differential resistance and method for making these nanostructures. A nanostructure according to the invention may notably be used in nanoelectronics. It comprises at least one structure (32) or at least one plurality of said at least one structure, at the surface of a silicon carbide substrate (30), the structure being selected from quantum dots, atomic segments, atomic lines and clusters, and at least one metal deposit (34), this metal deposit covering at least the structure or at least the plurality of said at least one structure, or of the combination of two or more of these nanostructures with 0, 1, 2 or 3 dimensions.
    Type: Application
    Filed: June 29, 2006
    Publication date: March 25, 2010
    Inventors: Patrick Soukiassian, Mathieu Studio Silly, Fabrice Charra
  • Publication number: 20100065857
    Abstract: A silicon carbide semiconductor device having excellent performance characteristics and a method of manufacturing the same are obtained. A coating film made of Si is formed on an initial growth layer on a 4H—SiC substrate, and an extended terrace surface is formed in a region covered with the coating film. Next, the coating film is removed, and a new growth layer is epitaxially grown on the initial growth layer. A 3C—SiC portion made of 3C—SiC crystals having a polytype stable at a low temperature is grown on the extended terrace surface of the initial growth layer. A channel region of a MOSFET or the like is provided in the 3C—SiC portion having a narrow band gap. As a result, the channel mobility is improved because of a reduction in an interface state, and a silicon carbide semiconductor device having excellent performance characteristics is obtained.
    Type: Application
    Filed: November 7, 2007
    Publication date: March 18, 2010
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Shin Harada, Takeyoshi Masuda
  • Patent number: 7675068
    Abstract: A silicon carbide structure is disclosed that is suitable for use as a substrate in the manufacture of electronic devices such as light emitting diodes. The structure includes a silicon carbide wafer having a first and second surface and having a predetermined conductivity type and an initial carrier concentration; a region of implanted dopant atoms extending from the first surface into the silicon carbide wafer to a predetermined depth, with the region having a higher carrier concentration than the initial carrier concentration in the remainder of the wafer; and an epitaxial layer on the first surface of the silicon carbide wafer.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: March 9, 2010
    Assignee: Cree, Inc.
    Inventors: Davis Andrew McClure, Alexander Suvorov, John Adam Edmond, David Beardsley Slater, Jr.
  • Patent number: 7666800
    Abstract: Methods of patterning features of semiconductor devices and methods of processing and fabricating semiconductor devices are disclosed. In one embodiment, a method of processing a semiconductor device includes forming first sidewall spacers on a first hard mask, removing the first hard mask, and forming a first material layer over the first sidewall spacers. A second hard mask is formed over the first material layer and the first sidewall spacers. Second sidewall spacers are formed on the second hard mask, and the second hard mask is removed. At least the first sidewall spacers are patterned using the second sidewall spacers as a mask.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: February 23, 2010
    Assignee: Infineon Technologies AG
    Inventors: Sajan Marokkey, Alois Gutmann, Klaus Herold, Chandrasekhar Sarma
  • Publication number: 20100032686
    Abstract: Bipolar semiconductor devices have a Zener voltage controlled very precisely in a wide range of Zener voltages (for example, from 10 to 500 V). A bipolar semiconductor device has a mesa structure and includes a silicon carbide single crystal substrate of a first conductivity type, a silicon carbide conductive layer of a first conductivity type, a highly doped layer of a second conductivity type and a silicon carbide conductive layer of a second conductivity type which substrate and conductive layers are laminated in the order named.
    Type: Application
    Filed: January 31, 2008
    Publication date: February 11, 2010
    Applicants: THE KANSAI ELECTRIC POWER CO., INC., CENTRAL RESEARCH INSTITUTE OF ELECTRIC POWER INDUSTRY
    Inventors: Ryosuke Ishii, Koji Nakayama, Yoshitaka Sugawara, Hidekazu Tsuchida
  • Publication number: 20100032730
    Abstract: A method of making a semiconductor device includes forming a p-type semiconductor region to an n-type semiconductor substrate in such a manner that the p-type semiconductor region is partially exposed to a top surface of the semiconductor substrate, forming a Schottky electrode of a first material in such a manner that the Schottky electrode is in Schottky contact with an n-type semiconductor region exposed to the top surface of the semiconductor substrate, and forming an ohmic electrode of a second material different from the first material in such a manner that the ohmic electrode is in ohmic contact with the exposed p-type semiconductor region. The Schottky electrode is formed earlier than the ohmic electrode.
    Type: Application
    Filed: August 4, 2009
    Publication date: February 11, 2010
    Applicant: DENSO CORPORATION
    Inventors: Takeshi Endo, Eiichi Okuno, Takeo Yamamoto, Hirokazu Fujiwara, Masaki Konishi, Yukihiko Watanabe, Takashi Katsuno
  • Patent number: 7655514
    Abstract: A silicon carbide metal semiconductor field-effect transistor includes a bi-layer silicon carbide buffer for improving electron confinement in the channel region and/or a layer disposed over at least the channel region of the transistor for suppressing surface effects caused by dangling bonds and interface states. Also, a sloped MESA fabrication method which utilizes a dielectric etch mask that protects the MESA top surface during MESA processing and enables formation of sloped MESA sidewalls.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: February 2, 2010
    Assignee: Lockheed Martin Corporation
    Inventors: An-Ping Zhang, Larry B. Rowland, James W. Kretchmer, Jesse Tucker, Edmund B. Kaminsky
  • Publication number: 20100019250
    Abstract: A semiconductor device and a method of forming thereof has a base body has a field stopping layer, a drift layer, a current spreading layer, a body region, and a source contact region layered in the order on a substrate. A trench that reaches the field stopping layer or the substrate is provided. A gate electrode is provided in the upper half section in the trench. In a section deeper than the position of the gate electrode in the trench, an insulator is buried that has a normal value of insulation breakdown electric field strength equal to or greater than the value of the insulation breakdown electric field strength of the semiconductor material of the base body. This inhibits short circuit between a gate and a drain due to insulation breakdown of an insulator film at the bottom of the trench to realize a high breakdown voltage in a semiconductor device using a semiconductor material such as SiC. The sidewall surfaces of the trench located below the gate electrode is inclined to form a trapezoidal profile.
    Type: Application
    Filed: October 7, 2009
    Publication date: January 28, 2010
    Applicant: FUJI ELECTRIC HOLDINGS CO., LTD.
    Inventors: Shun-Ichi NAKAMURA, Yoshiyuki YONEZAWA
  • Patent number: 7651955
    Abstract: Embodiments of the invention generally provide a method for depositing films or layers using a UV source during a photoexcitation process. The films are deposited on a substrate and usually contain a material, such as silicon (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), silicon oxide, silicon nitride, silicon oxynitride, or other silicon-containing materials. The photoexcitation process may expose the substrate and/or gases to an energy beam or flux prior to, during, or subsequent a deposition process. Therefore, the photoexcitation process may be used to pre-treat or post-treat the substrate or material, to deposit the silicon-containing material, and to enhance chamber cleaning processes.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Joseph M. Ranish, Kaushal K. Singh
  • Publication number: 20100006860
    Abstract: A method of manufacturing a semiconductor device based on a SiC substrate (12), comprising the steps of forming (201) an oxide layer (14) on a Si-terminated face of the SiC substrate (12) at an oxidation rate sufficiently high to achieve a near interface trap density below 5×1011 cm?2; and annealing (202) the oxidized SiC substrate in a hydrogen-containing environment, in order to passivate deep traps formed in the oxide-forming step, thereby enabling manufacturing of a SiC-based MOSFET (10) having improved inversion layer mobility and reduced threshold voltage. It has been found by the present inventors that the density of DTs increases while the density of NITs decreases when the Si-face of the SiC substrate is subject to rapid oxidation. According to the present invention, the deep traps formed during the rapid oxidation can be passivated by hydrogen annealing, thus leading to a significantly decreased threshold voltage for a semiconductor device formed on the oxide.
    Type: Application
    Filed: August 29, 2007
    Publication date: January 14, 2010
    Applicant: NXP, B.V.
    Inventors: Thomas C. Roedle, Elnar O. Sveinbjornsson, Halldor O. Olafsson, Gudjon I. Gudjonsson, Carl F. Allerstam
  • Patent number: 7629200
    Abstract: A fingerprint detection device has a fingerprint sensor chip and a diamond-like carbon (DLC) film covering the outermost surface of the sensor chip. The DLC film provides sufficient strength and enhanced electrostatic discharge withstand voltage to the fingerprint sensor chip. Thus, the DLC film protects the fingerprint sensor chip without any conventional protective cover. The DLC film is less scratchable and less stainable. Since the fingerprint detection device has no protective cover, the device can be provided in a thin and compact form. In addition, the device has high reliability.
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: December 8, 2009
    Assignee: Sony Corporation
    Inventors: Seiichi Miyai, Shuichi Oka
  • Patent number: 7622741
    Abstract: A semiconductor device of a double diffused MOS structure employing a silicon carbide semiconductor substrate. The semiconductor device comprises a silicon carbide semiconductor epitaxial layer provided on a surface of the silicon carbide semiconductor substrate and having a first conductivity which is the same conductivity as the silicon carbide semiconductor substrate, and an impurity region formed by doping a surface portion of the silicon carbide semiconductor epitaxial layer with an impurity of a second conductivity, the impurity region having a profile such that a near surface thereof has a relatively low second-conductivity impurity concentration and a deep portion thereof has a relatively high second-conductivity impurity concentration.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: November 24, 2009
    Assignee: Rohm Co., Ltd.
    Inventor: Mineo Miura
  • Patent number: 7618893
    Abstract: Methods of forming a barrier layer are provided. In one embodiment, the method includes providing a substrate into a physical valor deposition (PVD) chamber, supplying at least two reactive gases and an inert gas into the PVD chamber, sputtering a source material from a target disposed in the processing chamber in the presence of a plasma formed from the gas mixture, and forming a metal containing dielectric layer on the substrate from the source material. In another embodiment, the method includes providing a substrate into a PVD chamber, supplying a reactive gas the PVD chamber, sputtering a source material from a target disposed in the PVD chamber in the presence of a plasma formed from the reactive gas, forming a metal containing dielectric layer on the substrate from the source material, and post treating the metal containing layer in presence of species generated from a remote plasma chamber.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: November 17, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Keyvan Kashefizadeh, Ashish Subhash Bodke, Winsor Lam, Yiochiro Tanaka, Wonwoo Kim
  • Patent number: 7615456
    Abstract: A method for manufacturing an SOI substrate superior in film thickness uniformity and resistivity uniformity in a substrate surface of a silicon layer having a film thickness reduced by an etch-back method is provided. After B ions is implanted into a front surface of a single-crystal Si substrate 10 to form a high-concentration boron added p layer 11 having a depth L in the outermost front surface, the single-crystal Si substrate 10 is appressed against a quartz substrate 20 to be bonded at a room temperature. Chemical etching is performed with respect to the single-crystal Si substrate 10 from a back surface thereof to set its thickness to L or below. A heat treatment is carried out with respect to an SOI substrate in a hydrogen containing atmosphere to outwardly diffuse B from the high-concentration boron added p layer 11, thereby acquiring a boron added p layer 12 having a desired resistance value.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: November 10, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shoji Akiyama, Yoshihiro Kubota, Atsuo Ito, Makoto Kawai, Yuuji Tobisaka, Koichi Tanaka
  • Patent number: 7611989
    Abstract: Non-production wafers of polycrystalline silicon are placed in non-production slots of a support tower for thermal processing monocrystalline silicon wafers. They may have thicknesses of 0.725 to 2 mm and be roughened on both sides. Nitride may be grown on the non-production wafers to a thickness of over 2 ?m without flaking. The polycrystalline silicon is preferably randomly oriented Czochralski polysilicon grown using a randomly oriented seed, for example, CVD grown silicon. Both sides are ground to introduce sub-surface damage and then oxidized and etch cleaned. An all-silicon hot zone of a thermal furnace, for example, depositing a nitride layer, may include a silicon support tower placed within a silicon liner and supporting the polysilicon non-production wafers with silicon injector tube providing processing gas within the liner.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: November 3, 2009
    Assignee: Integrated Materials, Inc.
    Inventors: James E. Boyle, Reese Reynolds, Raanan Y. Zehavi, Robert W. Mytton, Doris Mytton, legal representative, Tom L. Cadwell
  • Publication number: 20090261349
    Abstract: A semiconductor device includes: a gate pattern over a substrate; recess patterns provided in the substrate at both sides of the gate pattern, each having a side surface extending below the gate pattern; and a source and a drain filling the recess patterns, and forming a strained channel under the gate pattern.
    Type: Application
    Filed: December 23, 2008
    Publication date: October 22, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Young-Ho LEE, Seung-Joon JEON, Tae-Hang AHN
  • Patent number: 7601639
    Abstract: The present invention provides, in one aspect, the present invention provides, in one embodiment, a method of conditioning a deposition chamber 100. This method comprises placing an undercoat on the walls of a deposition chamber 100 and depositing a pre-deposition coat over the undercoat with a plasma gas mixture conducted at a high pressure and with high gas flow.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: October 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Salvator F. Pavone, Jason J New
  • Patent number: 7601986
    Abstract: Epitaxial silicon carbide layers are fabricated by forming features in a surface of a silicon carbide substrate having an off-axis orientation toward a crystallographic direction. The features include at least one sidewall that is orientated nonparallel (i.e., oblique or perpendicular) to the crystallographic direction. The epitaxial silicon carbide layer is then grown on the surface of the silicon carbide substrate that includes features therein.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: October 13, 2009
    Assignee: Cree, Inc.
    Inventors: Christer Hallin, Heinz Lendenmann
  • Publication number: 20090236610
    Abstract: A method for manufacturing a semiconductor structure is provided which includes the following operations: supplying a crystalline semiconductor substrate, providing a porous region adjacent to a surface of the semiconductor substrate, introducing a dopant into the porous region from the surface, and thermally recrystallizing the porous region into a crystalline doping region of the semiconductor substrate whose doping type and/or doping concentration and/or doping distribution are/is different from those or that of the semiconductor substrate. A corresponding semiconductor structure is likewise provided.
    Type: Application
    Filed: March 9, 2007
    Publication date: September 24, 2009
    Applicant: ROBERT BOSCH GMBH
    Inventors: Gerhard Lammel, Hubert Benzel, Matthias Illing, Franz Laermer, Silvia Kronmueller, Paul Farber, Simon Armbruster, Ralf Reichenbach, Christoph Schelling, Ando Feyh
  • Publication number: 20090227100
    Abstract: A method for fabricating a semiconductor device includes the steps of forming an oxide film on a silicon carbide substrate; forming a gate electrode layer on the oxide film thereafter to pattern the gate electrode layer so as to form a gate electrode, comprising: and performing a thermal treatment to the gate electrode layer or the gate electrode in a mixed gas atmosphere of an oxidized gas and an inert gas.
    Type: Application
    Filed: March 4, 2009
    Publication date: September 10, 2009
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventors: Tomomi Yamanobe, Toru Yoshie
  • Publication number: 20090218579
    Abstract: In a substrate heating apparatus, thermoelectrons generated by a filament (132) in a vacuum heating vessel (103) are accelerated to collide against a conductive heater (131) which forms one surface of the vacuum heating vessel (103), thus generating heat. The conductive heater (131) is made of carbon. At least one of the inner and outer surfaces of the conductive heater (131) is coated with tantalum carbide (TaC).
    Type: Application
    Filed: February 24, 2009
    Publication date: September 3, 2009
    Applicant: CANON ANELVA ENGINEERING CORPORATION
    Inventor: Masami Shibagaki
  • Publication number: 20090186470
    Abstract: A method of producing a silicon carbide semiconductor device, including: step (A) of forming an impurity-doped region by implanting impurity ions 3 into at least a portion of a silicon carbide layer 2 formed on a first principal face of a silicon carbide substrate 1 having first and second principal faces; step (B) of forming capping layers 6 having thermal resistance on at least an upper face 2a of the silicon carbide layer 2 and on at least a second principal face 12a of the silicon carbide substrate 1; and step (C) of performing an activation annealing treatment by heating the silicon carbide layer 2 at a predetermined temperature.
    Type: Application
    Filed: March 27, 2008
    Publication date: July 23, 2009
    Inventors: Kunimasa Takahashi, Chiaki Kudou
  • Patent number: 7560322
    Abstract: A substrate arrangement for high power semiconductor devices includes a SiC wafer having a Si layer deposited on a surface of the SiC wafer. An SOI structure having a first layer of Si, an intermediate layer of SiO2 and a third layer of Si, has its third layer of Si bonded to the Si deposited on the SiC wafer, forming a unitary structure. The first layer of Si and the intermediate layer of SiO2 of the SOI are removed, leaving a pure third layer of Si on which various semiconductor devices may be fabricated. The third layer of Si and deposited Si layer may be removed over a portion of the substrate arrangement such that one or more semiconductor devices may be fabricated on the SiC wafer while other semiconductor devices may be accommodated on the pure third layer of Si.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: July 14, 2009
    Assignee: Northrop Grumman Systems Corporation
    Inventors: Rowland C. Clarke, Robert S. Howell, Michael E. Aumer
  • Patent number: 7541300
    Abstract: A silicon carbide semiconductor device includes: a semiconductor substrate having a silicon carbide substrate, a first semiconductor layer, a second semiconductor layer, and a third semiconductor layer; a trench penetrating the second and the third semiconductor layers to reach the first semiconductor layer; a channel layer on a sidewall and a bottom of the trench; an oxide film on the channel layer; a gate electrode on the oxide film; a first electrode connecting to the third semiconductor layer; and a second electrode connecting to the silicon carbide substrate. A position of a boundary between the first semiconductor layer and the second semiconductor layer is disposed lower than an utmost lowest position of the oxide film.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: June 2, 2009
    Assignee: DENSO CORPORATION
    Inventors: Malhan Rajesh Kumar, Yuichi Takeuchi
  • Publication number: 20090114969
    Abstract: An SiC semiconductor device and a related manufacturing method are disclosed having a structure provided with a p+-type deep layer formed in a depth equal to or greater than that of a trench to cause a depletion layer between at a PN junction between the p+-type deep layer and an n?-type drift layer to extend into the n?-type drift layer in a remarkable length, making it difficult for a high voltage, resulting from an adverse affect arising from a drain voltage, to enter a gate oxide film. This results in a capability of minimizing an electric field concentration in the gate oxide film, i.e., an electric field concentration occurring at the gate oxide film at a bottom wall of the trench.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 7, 2009
    Applicant: DENSO CORPORATION
    Inventors: Naohiro Suzuki, Yuuichi Takeuchi, Takeshi Endo, Eiichi Okuno, Toshimasa Yamamoto
  • Publication number: 20090104726
    Abstract: A semiconductor light emitting diode includes a semiconductor substrate, an epitaxial layer of n-type Group III nitride on the substrate, a p-type epitaxial layer of Group III nitride on the n-type epitaxial layer and forming a p-n junction with the n-type layer, and a resistive gallium nitride region on the n-type epitaxial layer and adjacent the p-type epitaxial layer for electrically isolating portions of the p-n junction. A metal contact layer is formed on the p-type epitaxial layer. Some embodiments include a semiconductor substrate, an epitaxial layer of n-type Group III nitride on the substrate, a p-type epitaxial layer of Group III nitride on the n-type epitaxial layer and forming a p-n junction with the n-type layer, wherein portions of the epitaxial region are patterned into a mesa and wherein the sidewalls of the mesa comprise a resistive Group III nitride region for electrically isolating portions of the p-n junction.
    Type: Application
    Filed: December 4, 2008
    Publication date: April 23, 2009
    Applicant: Cree, Inc.
    Inventors: David Beardsley Slater, JR., John Adam Edmond, Alexander Suvorov, Iain Hamilton
  • Patent number: 7510986
    Abstract: In a production process for a semiconductor device employing an SiC semiconductor substrate (1), the SiC semiconductor substrate (1) is mounted on a susceptor (23), and a C heating member (3) of carbon is placed on a surface of the SiC semiconductor substrate (1). An annealing process is performed to form an impurity region in the surface of the SiC semiconductor substrate (1) by causing the susceptor (23) and the C heating member (3) to generate heat at high temperatures.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: March 31, 2009
    Assignee: Rohm Co., Ltd.
    Inventor: Mineo Miura
  • Publication number: 20090075451
    Abstract: The present invention provides a method for manufacturing a semiconductor substrate in which a semiconductor wafer, formed of a material less likely to increase the hole diameter, is processed to a semiconductor substrate actually applicable to an existing manufacture line. An SiC wafer 12 is temporarily fixed to a Si wafer 18 through a wax 20. The SiC wafer 12 temporarily fixed to the Si wafer 18 is overlapped with a Si wafer 14 having the same hole diameter as the Si wafer 18 through an SOG film 16P. Orientation flats 14A and 18A are aligned, and while the Si wafers 14 and 18 are overlapped with each other, heating is performed under pressure to solidify the SOG film 16P, whereby an SOG solidified film 16S is formed. With the aid of the SOG solidified film 16S, the SiC wafer 12 is adhered to the Si wafer 14. The SiC wafer 12 is adhered at a predetermined position of the Si wafer 14 facing the SiC wafer 12 so as to be transferred from the Si wafer 18 to the Si wafer 14.
    Type: Application
    Filed: August 14, 2008
    Publication date: March 19, 2009
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD
    Inventors: Toru Yoshie, Kenji Komori
  • Patent number: 7488692
    Abstract: Fabrication of a light emitting device includes etching of a substrate of the light emitting device. The etch may be an aqueous etch sufficient to increase an amount of light extracted through the substrate. The etch may be a direct aqueous etch of a silicon carbide substrate. The etch may remove damage from the substrate that results from other processing of the substrate, such as damage from sawing the substrate. The etch may remove an amorphous region of silicon carbide in the substrate.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: February 10, 2009
    Assignee: Cree, Inc.
    Inventor: Gerald H. Negley
  • Patent number: 7488984
    Abstract: Doped silicon carbide structures, as well as methods associated with the same, are provided. The structures, for example, are components (e.g., layer, patterned structure) in MEMS structures. The doped silicon carbide structures may be highly conductive, thus, providing low resistance to electrical current. An in-situ doping process may be used to form the structures. The process parameters can be selected so that the structures have a low residual stress and/or low strain gradient. Thus, the structures may be formed having desired dimensions with little (or no) distortion arising from residual stress and/or strain gradient. The high conductivity and mechanical integrity of the structures are significant advantages in MEMS devices such as sensors and actuators.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: February 10, 2009
    Assignee: FLX Micro, Inc.
    Inventors: Jeffrey M. Melzak, Chien-Hung Wu
  • Patent number: 7485536
    Abstract: A method including forming a channel region between source and drain regions in a substrate, the channel region including a first dopant profile; and forming a barrier layer between the channel region and a well of the substrate, the barrier layer including a second dopant profile different from the first dopant profile. An apparatus including a gate electrode on a substrate; source and drain regions formed in the substrate and separated by a channel region; and a barrier layer between a well of the substrate and the channel region, the barrier layer including a dopant profile different than a dopant profile of the channel region and different than a dopant profile of the well.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: February 3, 2009
    Assignee: Intel Corporation
    Inventors: Been-Yih Jin, Brian S. Doyle, Robert S. Chau, Jack T. Kavalieros
  • Publication number: 20090014730
    Abstract: An exemplary method for forming an insulator layer over a silicon carbide substrate includes providing a silicon carbide substrate and anodizing the silicon carbide substrate in a liquid ambient at a temperature of not more than 200° C. to form a silicon dioxide layer thereon. Also provided are silicon carbide transistors and methods for fabricating the same.
    Type: Application
    Filed: July 3, 2008
    Publication date: January 15, 2009
    Applicant: NATIONAL TAIWAN UNIVERSITY
    Inventors: Jenn-Gwo Hwu, Kai-Chieh Chuang
  • Patent number: 7476568
    Abstract: An embodiment of the present invention is a technique to fabricate a package. A heat spreader (HS) array on a HS support substrate is formed. The HS array has a plurality of heat spreaders. A diced wafer supported by a wafer support substrate (WSS) is formed. The diced wafer has a plurality of thin dice. The thin dice in the diced wafer are bonded to the heat spreaders in the HS array to form HS-bonded thin dice between the HS support substrate and the WSS.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: January 13, 2009
    Assignee: Intel Corporation
    Inventors: Daoqiang Lu, Rajashree Baskaran, Chuan Hu
  • Publication number: 20090011598
    Abstract: In a manufacturing method of a silicon carbide semiconductor device, a silicon carbide substrate is prepared by slicing an ingot that is made of silicon carbide single crystal. The silicon carbide substrate is heat treated for exposing a substrate defect generated at a surface portion of the silicon carbide substrate and the surface portion of the silicon carbide substrate is chemical-mechanical polished in such a manner that the exposed substrate defect is removed. Then, a semiconductor element is formed on the silicon carbide substrate.
    Type: Application
    Filed: June 10, 2008
    Publication date: January 8, 2009
    Applicant: DENSO CORPORATION
    Inventors: Masanori Nagaya, Eiichi Okuno
  • Patent number: 7442657
    Abstract: A stress relaxed monocrystalline layer structure is made on a nonlattice matched substrate by first applying to the substrate epitaxially a monocrystalline layer structure comprising at least one layer, the monocrystalline layer structure forming with the substrate an interface that has a greater lattice parameter mismatch on the substrate than within the monocrystalline layer structure. The monocrystalline layer is irradiated by directing an ion beam to generate predominantly point effects in the monocrystalline layer structure and an extended defect region in the substrate proximal to the monocrystalline layer structure. Then the monocrystalline layer structure is thermally treated in a temperature range of 550° C. to 1000° C. in an inert, reducing or oxidizing atmosphere so that the monocrystalline layer structure above the extended defect region is stress relaxed and has a defect density less than 106 cm?2 and a surface roughness of less than 1 nm.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: October 28, 2008
    Assignee: Forschungszentrum Julich GmbH
    Inventor: Siegfried Mantl
  • Patent number: 7416929
    Abstract: A switching element combining a self-aligned, vertical junction field effect transistor with etched-implanted gate and an integrated antiparallel Schottky barrier diode is described. The anode of the diode is connected to the source of the transistor at the device level in order to reduce losses due to stray inductances. The SiC surface in the SBD anode region is conditioned through dry etching to achieve a low Schottky barrier height so as to reduce power losses associated with the turn on voltage of the SBD.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: August 26, 2008
    Assignees: SemiSouth Laboratories, Inc., Mississippi State University
    Inventors: Michael S. Mazzola, Joseph N. Merrett
  • Publication number: 20080188018
    Abstract: An ink jet head circuit board is provided which has heaters to generate thermal energy for ink ejection as they are energized. This circuit board has the heaters formed with high precision to reduce their areas. It also has provisions to protect the electrode wires against corrosion and prevent a progress of corrosion. The substrate is deposited with the thin first electrodes made of a corrosion resistant metal. This is further deposited with the resistor layer. The second electrodes made of aluminum are deposited to overlap the first electrodes to form the heater without causing large dimensional variations among the heaters. With this construction, if a defect should occur in a protective layer on or near the heater, a progress of corrosion can effectively be prevented because the material of the resistor layer is more resistant to encroachment than aluminum and the first electrodes are corrosion resistant.
    Type: Application
    Filed: April 7, 2008
    Publication date: August 7, 2008
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Kazuaki Shibata, Kenji Ono, Teruo Ozaki, Satoshi Ibe, Ichiro Saito, Sakai Yokoyama, Toshiyasu Sakai
  • Patent number: 7407837
    Abstract: Stress is exerted to the SiC crystal in the region, in which the carriers of a SiC semiconductor device flow, to change the crystal lattice intervals of the SiC crystal. Since the degeneration of the conduction bands in the bottoms thereof is dissolved, since the inter-band scattering is prevented from causing, and since the effective electron mass is reduced due to the crystal lattice interval change, the carrier mobility in the SiC crystal is improved, the resistance of the SiC crystal is reduced and, therefore, the on-resistance of the SiC semiconductor device is reduced.
    Type: Grant
    Filed: January 25, 2005
    Date of Patent: August 5, 2008
    Assignee: Fuji Electric Holdings Co., Ltd.
    Inventor: Takashi Tsuji
  • Publication number: 20080173875
    Abstract: Self-aligned fabrication of silicon carbide semiconductor devices is a desirable technique enabling reduction in the number of photolithographic steps, simplified alignment of different device regions, and reduced spacing between the device regions. This invention provides a method of fabricating silicon carbide (SiC) devices utilizing low temperature selective epitaxial growth which allows avoiding degradation of many masking materials attractive for selective epitaxial growth. Another aspect of this invention is a combination of the low temperature selective epitaxial growth of SiC and self-aligned processes.
    Type: Application
    Filed: April 13, 2007
    Publication date: July 24, 2008
    Inventors: Yaroslav Koshka, Galyna Melnychuk
  • Patent number: 7399676
    Abstract: A method for manufacturing a silicon carbide semiconductor device includes the steps of: preparing a semiconductor substrate including a silicon carbide substrate and first to third semiconductor layers; forming a trench in a cell region of the semiconductor substrate; forming a fourth semiconductor layer in the trench; forming an oxide film in the trench such that a part of the fourth semiconductor layer on a sidewall of the trench is thermally oxidized; forming a gate electrode on the oxide film in the trench; forming a first electrode electrically connecting to the third semiconductor layer; and forming a first electrode electrically connecting to the silicon carbide substrate.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: July 15, 2008
    Assignee: DENSO CORPORATION
    Inventors: Malhan Rajesh Kumar, Yuichi Takeuchi
  • Patent number: 7361555
    Abstract: A trench-gate transistor has an integral first layer of silicon dioxide extending from the upper surface of the semiconductor body over top corners of each cell array trench. The integral first layer also provides a thin gate dielectric insulating layer for a thick gate electrode and the integral first layer also provides a first part of a stack of materials which constitute a thick trench sidewall insulating layer for a thin field plate. Consistent with an example embodiment, there is a method of manufacture. A hardmask used to etch the trenches is removed before providing the silicon dioxide layer. The layer is then protected by successive selective etching of the oxide layer and the nitride layer in the upper parts of the trenches. After the gate electrodes are provided, layers for the channel accommodating regions and source regions may be formed through the oxide layer on the upper surface.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: April 22, 2008
    Assignee: NXP B.V.
    Inventors: Gerrit E. J. Koops, Michael A. A. In 'T Zandt
  • Patent number: 7297626
    Abstract: A Ni2Si-nSiC Ohmic contact is formed by pulsed laser ablation deposition (PLD) of Ni2Si source target deposited on a n-SiC substrate or SiC substrate wafer with SiC epilayer. The Ni2Si Ohmic contact on n-SiC was rapid thermal annealed at 950° C. for 30 s in a N2 ambient. The resultant Ohmic contact is characterized by excellent current-voltage (I-V) characteristics, an abrupt void free contact-SiC interface, retention of the PLD as-deposited contact layer width, smooth surface morphology, and absence of residual carbon within the contact layer or at the interface. The detrimental effects of contact delamination due to stress associated with interfacial voiding; and wire bond failure, non-uniformity of current flow and SiC polytype alteration due to extreme surface roughness; have been eliminated as has electrical instability associated with carbon inclusions at the contact-SiC interface, after prolonged high temperature and power device operation.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: November 20, 2007
    Assignee: United States of America as Represented by the Secretary of the Army
    Inventors: Melanie W. Cole, Timothy P. Weihs
  • Patent number: 7288486
    Abstract: In a method for manufacturing a semiconductor device wherein via holes are formed in an SiC substrate, a stacked film consisting of a Ti film and an Au film is formed on the back face of the SiC substrate, and a Pd film is formed thereon. Then, an Ni film is formed by non-electrolytic plating, using the Pd film as a catalyst. Thereafter, via holes penetrating through the SiC substrate are formed by etching, using the Ni film as a mask.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 30, 2007
    Assignee: Mitsubishi Electric Corporation
    Inventors: Takeo Shirahama, Toshihiko Shiga, Kouichirou Hori
  • Publication number: 20070238267
    Abstract: Expitaxial substitutional solid solutions of silicon carbon can be obtained by an ultrafast anneal of an amorphous carbon-containing silicon material. The anneal is performed at a temperature above the recrystallization point, but below the melting point of the material and preferably lasts for less than 100 milliseconds in this temperature regime. The anneal is preferably a flash anneal or laser anneal. This approach is able to produce epitaxial silicon and carbon-containing materials with a substantial portion of the carbon atoms at substitutional lattice positions. The approach is especially useful in CMOS processes and other electronic device manufacture where the presence of epitaxial Si1?yCy, y<0.1 is desired for strain engineering or bandgap engineering.
    Type: Application
    Filed: March 28, 2006
    Publication date: October 11, 2007
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yaocheng Liu, Oleg Gluschenkov, Anita Madan, Kern Rim, Judson Holt
  • Patent number: 7247550
    Abstract: A silicon carbide-based device contact and contact fabrication method employ a layer of poly-silicon on a SiC substrate, with the contact's metal layer deposited on top of the poly-silicon. Both Schottky and ohmic contacts can be formed. The poly-silicon layer can be continuous or patterned, and can be undoped or doped to be n-type or p-type. The present contact and method provide excellent contact adhesion, and can be employed with a number of different device types, to provide electrical contacts for Schottky diodes, pn diodes, and transistors, for example.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: July 24, 2007
    Assignee: Teledyne Licensing, LLC
    Inventor: Qingchun Zhang
  • Patent number: 7247513
    Abstract: A method of forming a layer of silicon carbide wherein silicon clusters are dissociated in a gas phase. Silicon clusters may be dissociated by a silicon-etching gas such as a group VII-containing component. A semiconductor device is also disclosed having a layer formed by the methods of the invention.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: July 24, 2007
    Assignee: Caracal, Inc.
    Inventor: Olof Claes Erik Kordina
  • Patent number: 7241690
    Abstract: The present invention provides, in one aspect, a method of conditioning a deposition chamber 100. An undercoat is placed on the walls of a deposition chamber 100 and a pre-deposition coat is deposited over the undercoat with a plasma gas mixture conducted at a high pressure and with high gas flow.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: July 10, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Salvator F. Pavone, Jason J. New
  • Patent number: 7226805
    Abstract: An epitaxial silicon carbide layer is fabricated by forming first features in a surface of a silicon carbide substrate having an off-axis orientation toward a crystallographic direction. The first features include at least one sidewall that is orientated nonparallel (i.e., oblique or perpendicular) to the crystallographic direction. A first epitaxial silicon carbide layer is then grown on the surface of the silicon carbide substrate that includes first features therein. Second features are then formed in the first epitaxial layer. The second features include at least one sidewall that is oriented nonparallel to the crystallographic direction. A second epitaxial silicon carbide layer is then grown on the surface of the first epitaxial silicon carbide layer that includes the second features therein.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: June 5, 2007
    Assignee: Cree, Inc.
    Inventors: Christer Hallin, Heinz Lendenmann, Joseph John Sumakeris