Deposition Of Conductive Or Insulating Material For Electrode Conducting Electric Current (epo) Patents (Class 257/E21.159)
  • Patent number: 8564030
    Abstract: A semiconductor device fabrication process includes forming insulating mandrels over one or more replacement metal gates on a semiconductor substrate. The mandrels include a first insulating material. Each mandrel has approximately the same width as its underlying gate with each mandrel being at least as wide as its underlying gate. Mandrel spacers are formed around each insulating mandrel. The mandrel spacers include the first insulating material. Each mandrel spacer has a profile that slopes from being wider at the bottom to narrower at the top. A second insulating layer of the second insulating material is formed over the transistor. Trenches to the sources and drains of the gates are formed by removing the second insulating material from portions of the transistor between the mandrels. Trench contacts to the sources and drains of the gates are formed by depositing conductive material in the first trenches.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: October 22, 2013
    Assignee: Advanced Micro Devices
    Inventor: Richard T. Schultz
  • Publication number: 20130270716
    Abstract: A semiconductor device including conductive lines configured to include first lines extending generally in parallel in a first direction and second lines extending generally in parallel in a second direction to intersect the first direction from the respective ends of the first lines and each second line having a width wider than the first line, and dummy patterns formed between the second lines.
    Type: Application
    Filed: August 10, 2012
    Publication date: October 17, 2013
    Applicant: SK hynix Inc.
    Inventors: Hyun Sub KIM, Sung Bo SHIM
  • Publication number: 20130270600
    Abstract: A method of increasing a work function of an electrode is provided. The method comprises obtaining an electronegative species from a precursor using electromagnetic radiation and reacting a surface of the electrode with the electronegative species. An electrode comprising a functionalized substrate is also provided.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 17, 2013
    Inventors: Michael Helander, Zhibin Wang, Jacky Qiu, Zheng-Hong Lu
  • Patent number: 8558396
    Abstract: A semiconductor device is provided and includes a semiconductor die, and a plurality of bond pads having exposed surfaces arranged in an alternating interleaved pattern on the semiconductor die. Each of the surfaces of the bond pads have a first bond placement area that overlaps with a second bond placement area, with the first bond placement area having a major axis that is orthogonal to a major axis of the second bond placement area. A connecting bond is located at an intersection of the major axes of the first bond placement area and the second bond placement area on one or more of the bond pads.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: October 15, 2013
    Assignee: Intersil Americas Inc.
    Inventors: Nikhil Vishwanath Kelkar, Sagar Pushpala, Seshasayee sS. Ankireddi
  • Patent number: 8558299
    Abstract: Embodiments described herein provide a semiconductor device and methods and apparatuses of forming the same. The semiconductor device includes a substrate having a source and drain region and a gate electrode stack on the substrate between the source and drain regions. The gate electrode stack includes a conductive film layer on a gate dielectric layer, a refractory metal nitride film layer on the conductive film layer, a silicon-containing film layer on the refractory metal nitride film layer, and a tungsten film layer on the silicon-containing film layer. In one embodiment, the method includes positioning a substrate within a processing chamber, wherein the substrate includes a source and drain region, a gate dielectric layer between the source and drain regions, and a conductive film layer on the gate dielectric layer.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: October 15, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yong Cao, Xianmin Tang, Srinivas Gandikota, Wei D. Wang, Zhendong Liu, Kevin Moraes, Muhammad M. Rasheed, Thanh X. Nguyen, Ananthkrishna Jupudi
  • Publication number: 20130264652
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a first gate structure and a second gate structure over a substrate. The first and second gate structures each include a high-k dielectric layer located over the substrate, a capping layer located over the high-k dielectric layer, an N-type work function metal layer located over the capping layer, and a polysilicon layer located over the N-type work function metal layer. The method includes forming an inter-layer dielectric (ILD) layer over the substrate, the first gate structure, and the second gate structure. The method includes polishing the ILD layer until a surface of the ILD layer is substantially co-planar with surfaces of the first gate structure and the second gate structure. The method includes replacing portions of the second gate structure with a metal gate. A silicidation process is then performed to the semiconductor device.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 10, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming Zhu, Jyun-Ming Lin, Wei Cheng Wu, Bao-Ru Young, Hak-Lay Chuang
  • Patent number: 8552565
    Abstract: A chip package includes a substrate having an upper surface and a lower surface, a plurality of conducting pads located under the lower surface of the substrate, and a dielectric layer located between the conducting pads. A hole is provided in the substrate, which extends from the upper surface towards the lower surface of the substrate. A sidewall or a bottom of the hole exposes a portion of the conducting pads. The upper opening of the hole near the upper surface is smaller than a lower opening of the hole near the lower surface. An upper conducting pad has at least an opening or a trench exposing a lower conducting pad of the conducting pads. A conducting layer is disposed in the hole, which electrically contacting at least one of the conducting pads.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: October 8, 2013
    Inventors: Yu-Lin Yen, Chien-Hui Chen, Tsang-Yu Liu, Long-Sheng Yeou
  • Publication number: 20130256884
    Abstract: In various aspects of the disclosure, a chip packaging arrangement may be provided. The chip packaging arrangement may include a dielectric layer with at least one semiconductor device adjoining the dielectric layer, at least one bonding area on the semiconductor device, the bonding area being exposed through the dielectric layer, a first material comprising a first coefficient of thermal expansion substantially surrounding the semiconductor device and adjoining the dielectric layer, a second material comprising a second coefficient of thermal expansion substantially surrounding the semiconductor device and the first material; and at least one conductive trace electrically connected to the semiconductor device.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 3, 2013
    Applicant: INTEL MOBILE COMMUNICATIONS GMBH
    Inventor: Thorsten Meyer
  • Publication number: 20130256802
    Abstract: Replacement gate work function material stacks are provided, which provides a work function about the energy level of the conduction band of silicon. After removal of a disposable gate stack, a gate dielectric layer is formed in a gate cavity. A metallic compound layer including a metal and a non-metal element is deposited directly on the gate dielectric layer. At least one barrier layer and a conductive material layer is deposited and planarized to fill the gate cavity. The metallic compound layer includes a material, which provides, in combination with other layer, a work function about 4.4 eV or less, and can include a material selected from tantalum carbide, metallic nitrides, and a hafnium-silicon alloy. Thus, the metallic compound layer can provide a work function that enhances the performance of an n-type field effect transistor employing a silicon channel. Optionally, carbon doping can be introduced in the channel.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 3, 2013
    Applicant: International Business Machines Corporation
    Inventors: Hemanth Jagannathan, Ramachandra Divakaruni, Unoh Kwon, Vijay Narayanan, Ravikumar Ramachandran
  • Publication number: 20130256787
    Abstract: A method for contacting MOS devices. First openings in a photosensitive material are formed over a substrate having a top dielectric in a first die area and a second opening over a gate stack in a second die area having the top dielectric, a hard mask, and a gate electrode. The top dielectric layer is etched to form a semiconductor contact while etching at least a portion the hard mask layer thickness over a gate contact area exposed by the second opening. An inter-layer dielectric (ILD) is deposited. A photosensitive material is patterned to generate a third opening in the photosensitive material over the semiconductor contact and a fourth opening inside the gate contact area. The ILD is etched through to reopen the semiconductor contact while etching through the ILD and residual hard mask if present to provide a gate contact to the gate electrode.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 3, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: FEI XIE, WEN CHENG TIEN, YA PING CHEN, LI BIN MAN, KUO JUNG CHEN, YU LIU, TIAN YI ZHANG, SISI XIE
  • Patent number: 8546256
    Abstract: The methods include forming a semiconductor substrate pattern by etching a semiconductor substrate. The semiconductor pattern has a first via hole that exposes side walls of the semiconductor substrate pattern, and the side walls of the semiconductor substrate pattern exposed by the first via hole have an impurity layer pattern. The methods further include treating upper surfaces of the semiconductor substrate pattern, the treated upper surfaces of the semiconductor substrate pattern being hydrophobic; removing the impurity layer pattern from the side walls of the semiconductor substrate pattern exposed by the first via hole; forming a first insulating layer pattern on the side walls of the semiconductor substrate pattern exposed by the first via hole; and filling a first conductive layer pattern into the first via hole and over the first insulating layer pattern.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: October 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Deok-young Jung, Gil-heyun Choi, Suk-chul Bang, Byung-lyul Park, Kwang-jin Moon, Dong-chan Lim
  • Patent number: 8546892
    Abstract: It is an object of an embodiment of the present invention to reduce leakage current between a source and a drain in a transistor including an oxide semiconductor. As a first gate film in contact with a gate insulating film, a compound conductor which includes indium and nitrogen and whose band gap is less than 2.8 eV is used. Since this compound conductor has a work function of greater than or equal to 5 eV, preferably greater than or equal to 5.5 eV, the electron concentration in an oxide semiconductor film can be maintained extremely low. As a result, the leakage current between the source and the drain is reduced.
    Type: Grant
    Filed: October 17, 2011
    Date of Patent: October 1, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuki Imoto, Tetsunori Maruyama, Takatsugu Omata, Yusuke Nonaka, Tatsuya Honda, Akiharu Miyanaga
  • Patent number: 8546275
    Abstract: Embodiments of the invention generally relate to nonvolatile memory devices and methods for manufacturing such memory devices. The methods for forming improved memory devices, such as a ReRAM cells, provide optimized, atomic layer deposition (ALD) processes for forming a metal oxide film stack having a metal oxide buffer layer disposed on or over a metal oxide bulk layer. The metal oxide bulk layer contains a metal-rich oxide material and the metal oxide buffer layer contains a metal-poor oxide material. The metal oxide bulk layer is less electrically resistive than the metal oxide buffer layer since the metal oxide bulk layer is less oxidized or more metallic than the metal oxide buffer layer. In one example, the metal oxide bulk layer contains a metal-rich hafnium oxide material and the metal oxide buffer layer contains a metal-poor zirconium oxide material.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: October 1, 2013
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Yun Wang, Vidyut Gopal, Imran Hashim, Dipankar Pramanik, Tony Chiang
  • Patent number: 8541882
    Abstract: An IC device comprises a stack of contact levels, each including conductive layer and an insulation layer. A dielectric liner surrounds an interlevel conductor within an opening in the stack of contact levels. The opening passes through a portion of the stack of contact levels. The interlevel conductor is electrically insulated from the conductive layers of each of the contact levels through the dielectric liner. A portion of the conductive layer at the opening is recessed relative to adjacent insulation layers. The dielectric liner may have portions extending between adjacent insulation layers.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: September 24, 2013
    Assignee: Macronix International Co. Ltd.
    Inventors: Shih-Hung Chen, Yan-Ru Chen, Lo-Yueh Lin
  • Publication number: 20130234321
    Abstract: The semiconductor device includes a plurality of pillar patterns formed over a semiconductor substrate. Each pillar pattern includes a silicon layer; a bit line junction region formed at the bottom of one side of the pillar pattern, and configured to be in contact the silicon layer; a bit line provided between the pillar patterns, coupled to the bit line junction region, and extending along a first direction; and a gate spaced apart from an upper part of the bit line, extending along a second direction perpendicular to the bit line, and formed at a sidewall of the pillar pattern.
    Type: Application
    Filed: September 10, 2012
    Publication date: September 12, 2013
    Applicant: SK hynix Inc.
    Inventor: Woo Young CHUNG
  • Publication number: 20130234303
    Abstract: A metal shield structure is provided for an integrated circuit (IC) having at least a first metal contact coupled to a fixed potential and a second metal contact. A first passivation layer is located between the first and second metal contacts and on a first portion of the first metal contact and a first portion of the second metal contact, leaving a second portion of the first metal contact and a second portion of the second metal contact uncovered by the first passivation layer. A metal shield layer is provided on the second portion of the first metal contact and on the first passivation layer, and a second passivation layer is formed on the metal shield layer.
    Type: Application
    Filed: March 8, 2012
    Publication date: September 12, 2013
    Applicant: POLAR SEMICONDUCTOR, INC.
    Inventors: Roger Carroll, Greg Nelson
  • Publication number: 20130234335
    Abstract: Ni and Pt residuals are eliminated by replacing an SPM cleaning process with application of HNO3 in an SWC tool. Embodiments include depositing a layer of Ni/Pt on a semiconductor substrate, annealing the deposited Ni/Pt layer, removing unreacted Ni from the annealed Ni/Pt layer by applying HNO3 to the annealed Ni/Pt layer in an SWC tool, annealing the Ni removed Ni/Pt layer, and removing unreacted Pt from the annealed Ni removed Ni/Pt layer. Embodiments include forming first and second gate electrodes on a substrate, spacers on opposite sides of each gate electrode, and Pt-containing NiSi on the substrate adjacent each spacer, etching back the spacers, forming a tensile strain layer over the first gate electrode, applying a first HNO3 in an SWC tool, forming a compressive strain layer over the second gate electrode, and applying a second HNO3 in an SWC tool.
    Type: Application
    Filed: March 8, 2012
    Publication date: September 12, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Clemens Fitz, Jochen Poth, Kristin Schupke
  • Publication number: 20130228929
    Abstract: In one embodiment, a method of forming a semiconductor device includes forming a metal line over a substrate and depositing an alloying material layer over a top surface of the metal line. The method further includes forming a protective layer by combining the alloying material layer with the metal line.
    Type: Application
    Filed: March 2, 2012
    Publication date: September 5, 2013
    Applicant: Infineon Technologies AG
    Inventors: Dirk Meinhold, Norbert Mais, Reimund Engl, Hans-Joerg Timme, Alfred Vater, Stephan Henneck, Norbert Urbansky
  • Patent number: 8524600
    Abstract: Embodiments of the invention provide methods for forming materials on a substrate used for metal gate and other applications. In one embodiment, a method includes forming a cobalt stack over a barrier layer disposed on a substrate by depositing a cobalt layer during a deposition process, exposing the cobalt layer to a plasma to form a plasma-treated cobalt layer during a plasma process, and repeating the cobalt deposition process and the plasma process to form the cobalt stack containing a plurality of plasma-treated cobalt layers. The method further includes exposing the cobalt stack to an oxygen source gas to form a cobalt oxide layer from an upper portion of the cobalt stack during a surface oxidation process and heating the remaining portion of the cobalt stack to a temperature within a range from about 300° C. to about 500° C. to form a crystalline cobalt film during a thermal annealing crystallization process.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: September 3, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yu Lei, Xinyu Fu, Anantha Subramani, Seshadri Ganguli, Srinivas Gandikota
  • Patent number: 8518813
    Abstract: A method of manufacturing a semiconductor device in which a stress can be effectively applied from a semiconductor layer having a different lattice constant from a semiconductor substrate to a channel part, whereby carrier mobility can be improved and higher functionality can be achieved.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: August 27, 2013
    Assignee: Sony Corporation
    Inventor: Shinya Yamakawa
  • Publication number: 20130217217
    Abstract: According to one embodiment, a pattern forming method is disclosed. A resist pattern having a top surface is formed pattern on a substrate. A coating film having a first thickness distribution is formed on the substrate. The coating film covers the resist pattern. The coating film is thinned to expose the top surface of the resist pattern. The first thickness distribution is changed into a second thickness distribution which is more uniform than the first thickness distribution. The resist pattern is removed without removing the coating film. A pattern is formed in the substrate by processing the substrate by using the coating film as a mask.
    Type: Application
    Filed: September 5, 2012
    Publication date: August 22, 2013
    Inventors: Katsutoshi Kobayashi, Daisuke Kawamura
  • Publication number: 20130214340
    Abstract: A semiconductor structure and a manufacturing method of the same are provided. The semiconductor structure includes a substrate, a first stacked structure, and a first conductive layer. The first stacked structure is formed on the substrate and includes a conductive structure and an insulating structure, and the conductive structure is disposed adjacent to the insulating structure. The first conductive layer is formed on the substrate and surrounds two side walls and a part of the top portion of the first stacked structure for exposing a portion of the first stacked structure.
    Type: Application
    Filed: February 21, 2012
    Publication date: August 22, 2013
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Shih-Hung Chen, Hang-Ting Lue, Yen-Hao Shih
  • Patent number: 8513754
    Abstract: A solar cell includes a substrate of a first conductive type; an emitter layer that is positioned on the substrate and is a second conductive type that is opposite to the first conductive type; first electrodes that are connected to the emitter layer; and a second electrode that is connected to the substrate, wherein the emitter layer includes a first emitter portion and a second emitter portion, the first electrodes include a finger electrode, and a bus electrode intersecting and connected to the finger electrode, and the first emitter portion and the second emitter portion are positioned under the bus electrode.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: August 20, 2013
    Assignee: LG Electronics Inc.
    Inventor: JaeSung You
  • Publication number: 20130203226
    Abstract: A semiconductor process is provided, including following steps. A polysilicon layer is formed on a substrate. An asymmetric dual-side heating treatment is performed to the polysilicon layer, wherein a power for a forntside heating is different from a power for a backside heating.
    Type: Application
    Filed: February 7, 2012
    Publication date: August 8, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chan-Lon Yang, Ching-Nan Hwang, Chi-Heng Lin, Chun-Yao Yang, Ger-Pin Lin, Ching-I Li
  • Patent number: 8501618
    Abstract: A semiconductor device has a semiconductor die and first conductive layer formed over a surface of the semiconductor die. A first insulating layer is formed over the surface of the semiconductor die. A second insulating layer is formed over the first insulating layer and first conductive layer. An opening is formed in the second insulating layer over the first conductive layer. A second conductive layer is formed in the opening over the first conductive layer and second insulating layer. The second conductive layer has a width that is less than a width of the first conductive layer along a first axis. The second conductive layer has a width that is greater than a width of the first conductive layer along a second axis perpendicular to the first axis. A third insulating layer is formed over the second conductive layer and first insulating layer.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: August 6, 2013
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Yaojian Lin, Xia Feng, Jianmin Fang, Kang Chen
  • Publication number: 20130196506
    Abstract: In accordance with an embodiment of the present invention, a method of polishing a device includes providing a layer having a non-uniform top surface. The non-uniform top surface includes a plurality of protrusions. The method further includes removing the plurality of protrusions by exposing the layer to a fluid that has gas bubbles and a liquid.
    Type: Application
    Filed: February 1, 2012
    Publication date: August 1, 2013
    Applicant: Infineon Technologies AG
    Inventor: Johann Kosub
  • Patent number: 8497420
    Abstract: The present invention provides a thick-film paste for printing the front-side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal, and a lead-tellurium-oxide dispersed in an organic medium.
    Type: Grant
    Filed: May 4, 2011
    Date of Patent: July 30, 2013
    Assignee: E I du Pont de Nemours and Company
    Inventors: Alan Frederick Carroll, Kenneth Warren Hang, Brian J. Laughlin, Kurt Richard Mikeska, Carmine Torardi, Paul Douglas Vernooy
  • Publication number: 20130186754
    Abstract: A biosensor capacitor, including a dielectric layer; a first metal layer in the dielectric layer; a passivation layer over the dielectric layer and the first metal layer; an isolation layer over the passivation layer; a probe DNA electrode connected to the first metal layer; a counter electrode connected to the first metal layer wherein the counter electrode forms an enclosure around the probe DNA electrode; and a bond pad connected to the first metal layer.
    Type: Application
    Filed: January 19, 2012
    Publication date: July 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kristin M. Ackerson, John J. Ellis-Monaghan, Jeffrey P. Gambino, Yen Li Lim
  • Patent number: 8492223
    Abstract: A method of manufacturing a flash memory device includes: forming a dielectric layer on an active region of a substrate having an isolation region and the active region; forming a floating gate on the dielectric layer; forming an isolation layer in the isolation region; forming a nitride layer including a first nitride layer portion formed on an exposed surface of the floating gate and a second nitride layer portion formed on an exposed surface of the isolation layer; selectively removing nitrogen atoms from the second nitride layer portion of the nitride layer; forming an inter-gate dielectric layer on both the first nitride layer portion and the isolation layer; and forming a control gate on the inter-gate dielectric layer.
    Type: Grant
    Filed: April 13, 2011
    Date of Patent: July 23, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-wan Choi, Wan-sik Hwang, Gil-heyun Choi, Eunkee Hong, Ju-seon Goo, Bo-young Lee
  • Publication number: 20130181340
    Abstract: A method forms a connecting pillar to a bonding pad of an integrated circuit. A seed layer is formed over the bond pad. Photoresist is deposited over the integrated circuit. An opening is formed in the photoresist over the bond pad. The connecting pillar is formed in the opening by plating.
    Type: Application
    Filed: January 13, 2012
    Publication date: July 18, 2013
    Inventors: Trent S. UEHLING, Lawrence S. KLINGBEIL, Mostafa VADIPOUR, Brett P. WILKERSON, Leo M. HIGGINS, III
  • Patent number: 8486830
    Abstract: A via forming method that includes forming via-holes in a substrate is provided. The method includes putting the substrate, having the via-holes, in a first solution to fill the via-holes with the first solution. Metal particles are sunk into the via-holes by supplying a second solution containing the metal particles to the first solution. A first curing process of heat-treating the substrate is performed so as to form vias in the via-holes. A multi-chip package that includes the substrate having the vias is also provided.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: July 16, 2013
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Dong Pyo Kim, Kyu Ha Baek, Kun Sik Park, Lee Mi Do
  • Patent number: 8486826
    Abstract: A process of forming a front-grid electrode on a silicon wafer having an ARC layer, comprising the steps: (1) printing and drying a metal paste A comprising an inorganic content comprising 0.5 to 8 wt.-% of glass frit and having fire-through capability, wherein the metal paste A is printed on the ARC layer to form a bottom set of thin parallel finger lines, (2) printing and drying a metal paste B comprising an inorganic content comprising 0.2 to 3 wt.-% of glass frit over the bottom set of finger lines, wherein the metal paste B is printed in a grid pattern which comprises (i) thin parallel finger lines forming a top set of finger lines superimposing the bottom set of finger lines and (ii) busbars intersecting the finger lines at right angle, and (3) firing the double-printed silicon wafer, wherein the inorganic content of metal paste B contains less glass frit plus optionally present other inorganic additives than the inorganic content of metal paste A.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: July 16, 2013
    Assignee: E I Du Pont De Nemours and Company
    Inventors: Russell David Anderson, Kenneth Warren Hang, Shih-Ming Kao, Giovanna Laudisio, Cheng-Nan Lin, Chun-Kwei Wu
  • Publication number: 20130178055
    Abstract: Disclosed herein are methods of forming a replacement gate structure having a reentrant profile. In one example, the method includes forming a layer of material for a sacrificial gate electrode, wherein the layer of material includes at least one impurity that changes the etch rate of the layer of material as compared to an etch rate for the layer of material without the impurity, and wherein a concentration of the at least one impurity varies along a direction that corresponds to a thickness of the layer of material, and performing another etching process on the layer of material to define a sacrificial gate electrode. The method concludes with the steps of performing another etching process to remove the sacrificial gate electrode so as to at least partially define a gate opening in a layer of insulating material and forming a replacement gate structure in the gate opening.
    Type: Application
    Filed: January 9, 2012
    Publication date: July 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Andre P. LaBonte, Phillip L. Jones
  • Publication number: 20130178045
    Abstract: Methods of forming transistor devices having an increased gate width dimension are disclosed. In one example, the method includes forming an isolation structure in a semiconducting substrate, wherein the isolation structure defines an active region in the substrate, performing an ion implantation process on the isolation structure to create a damaged region in the isolation structure and, after performing the implantation process, performing an etching process to remove at least a portion of the damaged region to define a recess in the isolation structure, wherein a portion of the recess extends below an upper surface of the substrate and exposes a sidewall of the active region. The method further includes forming a gate insulation layer above the active region, wherein a portion of the insulation layer extends into the recess, and forming a gate electrode above the insulation layer, wherein a portion of the gate electrode extends into the recess.
    Type: Application
    Filed: January 11, 2012
    Publication date: July 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Chung Foong Tan, Maciej Wiatr, Peter Javorka, Falong Zhou
  • Patent number: 8481998
    Abstract: A display device and a manufacturing method thereof are provided. The display device includes a substrate, a semiconductor layer formed on the substrate, an organic insulating layer formed on the semiconductor layer, a plurality of conductive wires formed on the organic insulating layer. The organic insulating layer has an open groove that is formed between the conductive wires.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: July 9, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Kyu-Sik Cho, Joon-Hoo Choi, Bo-Kyung Choi, Sang-Ho Moon
  • Patent number: 8481389
    Abstract: A semiconductor structure, and method of forming a semiconductor structure, that includes a gate structure on a semiconductor substrate, in which the gate structure includes a gate conductor and a high-k gate dielectric layer. The high-k gate dielectric layer is in contact with the base of the gate conductor and is present on the sidewalls of the gate conductor for a dimension that is less than ¼ the gate structure's height. The semiconductor structure also includes source regions and drain regions present in the semiconductor substrate on opposing sides of the gate structure.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: July 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Zhang, Qingyun Yang, Hongwen Yan
  • Publication number: 20130168862
    Abstract: A method of manufacturing a semiconductor memory and a structure of the semiconductor memory device, where the semiconductor memory device includes a material layer and a barrier layer. The barrier layer has a structure in which a horizontal cross-section of an upper portion thereof is larger than that of a lower portion thereof so that a fine pattern may be formed on the material layer using the barrier layer pattern without a structural damage or collapse in etching the underlying material layer.
    Type: Application
    Filed: June 8, 2012
    Publication date: July 4, 2013
    Inventor: Ha Chang JUNG
  • Publication number: 20130168761
    Abstract: A improved termination structure for semiconductor power devices is disclosed, comprising a trenched field plate formed not only along trench sidewall but also on trench bottom of the wide termination trench by doing poly-silicon CMP so that body ion implantation is blocked by the trenched field plate on the trench bottom to prevent a body region formation underneath the trench bottom of the wide termination trench, degrading avalanche voltage.
    Type: Application
    Filed: December 30, 2011
    Publication date: July 4, 2013
    Applicant: FEEI CHERNG ENTERPRISE CO., LTD.
    Inventor: Fu-Yuan HSIEH
  • Patent number: 8476126
    Abstract: A method for fabricating an integrated circuit device is disclosed. An exemplary method includes providing a substrate; forming a high-k dielectric layer over the substrate; forming a first capping layer over the high-k dielectric layer; forming a second capping layer over the first capping layer; forming a dummy gate layer over the second capping layer; performing a patterning process to form a gate stack including the high-k dielectric layer, first and second capping layers, and dummy gate layer; removing the dummy gate layer from the gate stack, thereby forming an opening that exposes the second capping layer; and filling the opening with a first metal layer over the exposed second capping layer and a second metal layer over the first metal layer, wherein the first metal layer is different from the second metal layer and has a work function suitable to the semiconductor device.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: July 2, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Hak-Lay Chuang, Kong-Beng Thei, Chiung-Han Yeh
  • Publication number: 20130161573
    Abstract: A lead-free conductive paste composition contains a source of an electrically conductive metal, a fusible material, an optional additive, and an organic vehicle. An article such as a high-efficiency photovoltaic cell is formed by a process of deposition of the lead-free paste composition on a semiconductor substrate (e.g., by screen printing) and firing the paste to remove the organic vehicle and sinter the metal and fusible material.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventors: Carmine Torardi, Paul Douglas Vernooy
  • Publication number: 20130161572
    Abstract: A conductive paste composition contains a source of an electrically conductive metal, a fusible material, a synthetic clay additive, and an optional etchant additive, dispersed in an organic medium. An article such as a photovoltaic cell is formed by a process having the steps of deposition of the paste composition on a semiconductor substrate by a process such as screen printing and firing the paste to remove the organic medium and sinter the metal and fusible material. The synthetic clay additive aids in establishing a low resistance electrical contact between the front side metallization and underlying semiconductor substrate during firing.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventors: Steven Dale Ittel, John Graeme Pepin
  • Publication number: 20130161754
    Abstract: A manufacturing method of a semiconductor device includes the following steps. First, a substrate is provided. At least one gate trench and a first inter-layer dielectric layer are formed on the substrate. A work function metallic layer is then formed in the gate trench. A first contact hole is then formed in the first inter-layer dielectric layer. A main conductive layer is formed in the gate trench and the first contact hole simultaneously.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Inventors: Hao Su, Hang Hu, Hong Liao
  • Publication number: 20130160830
    Abstract: A conductive thick-film paste composition is useful in forming conductive structures on the front side of a solar cell or other like device. The paste composition has a source of electrically conductive metal, such as silver powder, one or more glass components, and an optional zinc-containing additive, which are dispersed in an organic medium containing a surfactant.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Applicant: E.I. DU PONT DE NEMOURS AND COMPANY
    Inventor: Alex Sergey IONKIN
  • Patent number: 8470695
    Abstract: A semiconductor element of the electric circuit includes a semiconductor layer over a gate electrode. The semiconductor layer of the semiconductor element is formed of a layer including polycrystalline silicon which is obtained by crystallizing amorphous silicon by heat treatment or laser irradiation, over a substrate. The obtained layer including polycrystalline silicon is also used for a structure layer such as a movable electrode of a structure body. Therefore, the structure body and the electric circuit for controlling the structure body can be formed over one substrate. As a result, a micromachine can be miniaturized. Further, assembly and packaging are unnecessary, so that manufacturing cost can be reduced.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: June 25, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Mayumi Yamaguchi, Konami Izumi
  • Publication number: 20130157450
    Abstract: Disclosed herein are various methods of forming metal silicide regions on semiconductor devices. In one example, the method includes forming a sacrificial gate structure above a semiconducting substrate, performing a selective metal silicide formation process to form metal silicide regions in source/drain regions formed in or above the substrate, after forming the metal silicide regions, removing the sacrificial gate structure to define a gate opening and forming a replacement gate structure in the gate opening, the replacement gate structure comprised of at least one metal layer.
    Type: Application
    Filed: December 20, 2011
    Publication date: June 20, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Clemens Fitz, Peter Baars, Markus Lenski
  • Publication number: 20130140634
    Abstract: A method of replacing semiconductor material with metal, Replacement Metal Gate Field Effect Transistors (RMG FETs) and Contacts (RMCs), and Integrated Circuit (IC) chips including the FETs and/or RMCs. A patterned semiconductor layer, e.g., silicon, is formed on a dielectric layer, e.g., a layered gate dielectric. A field dielectric layer fills between shapes in the patterned semiconductor layer. Metal is deposited on the shapes. The wafer is annealed to replace semiconductor in each shape with metal to form metal FET gates or contacts.
    Type: Application
    Filed: December 5, 2011
    Publication date: June 6, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kevin K. Chan, Christopher D'Emic, Young-Hee Kim, Dae-gyu Park, Jeng-Bang Yau
  • Publication number: 20130143398
    Abstract: A method for manufacturing a MOSFET includes the steps of: preparing a substrate made of silicon carbide; forming a drain electrode making ohmic contact with the substrate; and forming a backside pad electrode on and in contact with the drain electrode. The drain electrode formed in the step of forming the drain electrode is made of an alloy containing Ti and Si. Further, the backside pad electrode formed is maintained at a temperature of 300° C. or smaller until completion of the MOSFET. Accordingly, the manufacturing process can be efficient while achieving excellent adhesion between the electrodes.
    Type: Application
    Filed: September 11, 2012
    Publication date: June 6, 2013
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Hiroyuki Kitabayashi, Hideto Tamaso, Taku Horii
  • Patent number: 8455330
    Abstract: Devices having gate-to-gate isolation structures and methods of manufacture are provided. The method includes forming a plurality of isolation structures in pad films and an underlying substrate. The method further includes forming a plurality of fins including the isolation structures and a second plurality of fins including the two pad films and a portion of the underlying substrate, each of which are separated by a trench. The method further includes removing portions of the second plurality of fins resulting in a height lower than a height of the plurality of fins including the isolation structures. The method further includes forming gate electrodes within each trench, burying the second plurality of fins and abutting sides of the plurality of fins including the isolation structures. The plurality of fins including the isolation structures electrically and physically isolate adjacent gate electrode of the gate electrodes.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak, Jed H. Rankin
  • Patent number: 8450208
    Abstract: In a semiconductor device manufacturing method according to an exemplary embodiment, a sulfur-containing film containing sulfur is deposited on an n-type semiconductor, a first metal film containing a first metal is deposited on the sulfur-containing film, a heat treatment is performed to form a metal semiconductor compound film by reacting the n-type semiconductor and the sulfur-containing film, and to introduce sulfur to an interface between the n-type semiconductor and the metal semiconductor compound film being formed.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: May 28, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshifumi Nishi, Atsuhiro Kinoshita, Hirotaka Nishino, Masamichi Suzuki
  • Patent number: 8450217
    Abstract: The method for making a hole in a layer includes the provision of first and second adhesion areas on a surface of a support. The first area has dimensions corresponding to the dimensions of the hole. The method includes depositing a layer on the first and second adhesion areas. The material of the layer has an adhesion coefficient to the first area lower than the adhesion coefficient to the second area. The part of layer arranged above the first area is eliminated by a fluid jet.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: May 28, 2013
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Mohamed Benwadih, Marie Heitzmann