Dry Cleaning (epo) Patents (Class 257/E21.226)
  • Patent number: 11094585
    Abstract: One illustrative method disclosed herein includes, among other things, selectively forming a sacrificial material on an upper surface of a top electrode of a memory cell, forming at least one layer of insulating material around the sacrificial material and removing the sacrificial material so as to form an opening in the at least one layer of insulating material, wherein the opening exposes the upper surface of the top electrode. The method also includes forming an internal sidewall spacer within the opening in the at least one layer of insulating material and forming a conductive contact structure that is conductively coupled to the upper surface of the top electrode, wherein a portion of the conductive contact structure is surrounded by the internal sidewall spacer.
    Type: Grant
    Filed: July 8, 2019
    Date of Patent: August 17, 2021
    Assignee: GLOBALFOUNDRIES U.S. INC.
    Inventors: Xuan Anh Tran, Eswar Ramanathan, Sunil Kumar Singh, Suryanarayana Kalaga, Suresh Kumar Regonda, Juan Boon Tan
  • Patent number: 10872762
    Abstract: Methods of forming a silicon oxide layer and a semiconductor structure are disclosed. The method of forming the silicon oxide layer includes the following steps. A silicon-containing precursor, an oxygen-containing precursor and an oxygen radical are provided to form a silicon oxide layer containing water. A thermal process is performed on the silicon oxide layer to diffuse the water into the silicon oxide layer and oxidize the silicon oxide layer by using the water as oxidizer.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: December 22, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yu-Yun Peng
  • Patent number: 10825688
    Abstract: A method MT in an embodiment is a method for etching an etching target layer EL which is included in a wafer W and contains copper. The wafer W includes the etching target layer EL, and a mask MK provided on the etching target layer EL. In the method MT, the etching target layer EL is etched by repeatedly executing a sequence SQ including a first step of generating a plasma of a first gas in a processing container 12 of a plasma processing apparatus 10 in which the wafer W is accommodated, a second step of generating a plasma of a second gas in the processing container 12, and a third step of generating a plasma of a third gas in the processing container 12. The first gas contains a hydrocarbon gas, the second gas contains either a rare gas or a mixed gas of a rare gas and hydrogen gas, and the third gas contains hydrogen gas.
    Type: Grant
    Filed: June 7, 2017
    Date of Patent: November 3, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Daisuke Urayama, Kenji Matsumoto, Hidenori Miyoshi
  • Patent number: 10714347
    Abstract: A method of forming a semiconductor device includes etching a gate stack to form a trench extending into the gate stack, forming a dielectric layer on a sidewall of the gate stack, with the sidewall exposed to the trench, and etching the dielectric layer to remove a first portion of the dielectric layer at a bottom of the trench. A second portion of the dielectric layer on the sidewall of the gate stack remains after the dielectric layer is etched. After the first portion of the dielectric layer is removed, the second portion of the dielectric layer is removed to reveal the sidewall of the gate stack. The trench is filled with a dielectric region, which contacts the sidewall of the gate stack.
    Type: Grant
    Filed: November 7, 2018
    Date of Patent: July 14, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shu-Uei Jang, Ya-Yi Tsai, Ryan Chia-Jen Chen, An Chyi Wei, Shu-Yuan Ku
  • Patent number: 10490475
    Abstract: In some embodiments, a semiconductor surface may be effectively passivated by nitridation, preferably using hydrazine, a hydrazine derivative, or a combination thereof. The surface may be the semiconductor surface of a transistor channel region. In some embodiments, native oxide is removed from the semiconductor surface and the surface is subsequently nitrided. In some other embodiments, a semiconductor surface oxide layer is formed at the semiconductor surface and the passivation is accomplished by forming a semiconductor oxynitride layer at the surface, with the nitridation contributing nitrogen to the surface oxide to form the oxynitride layer. The semiconductor oxide layer may be deposited by atomic layer deposition (ALD) and the nitridation may also be conducted as part of the ALD.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: November 26, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Qi Xie, Fu Tang, Michael Givens, Petri Raisanen, Jan Willem Maes, Xiaoqiang Jiang
  • Patent number: 10150696
    Abstract: The glass sheet production method of the present invention includes the steps of: (I) bringing a first acid gas into contact with at least one principal surface of a sheet-shaped glass material, the first acid gas containing hydrogen fluoride (HF) gas but not containing hydrogen chloride (HCl) gas and having a volume ratio of water vapor to HF gas of less than 8, the glass material containing at least sodium as a component and having a temperature in a range from a glass transition temperature to a temperature 250° C.
    Type: Grant
    Filed: September 26, 2014
    Date of Patent: December 11, 2018
    Assignee: NIPPON SHEET GLASS COMPANY, LIMITED
    Inventors: Satoshi Tanaka, Keiko Tsuri, Hirotaka Koyo, Kazuishi Mitani, Yasuhiro Saito
  • Patent number: 10026620
    Abstract: The present invention relates to the growth of a native oxide layer on a surface of a silicon substrate. Deep ultraviolet (UV) light is irradiated to thereby effectively improve the quality of the native oxide layer. By improving the quality, the difficulty of the surface treatment of a cross-section sample for scanning capacitance microscopy (SCM) is improved. The life cycle and reliability of the sample are also improved with enhanced reproducibility for the measurement of SCM. Thus, the present invention provides an improved method and an apparatus using the same to prepare a cross-sectional sample for SCM. The feasibility and the concrete method for enhancing oxide layer quality on a silicon substrate surface by UV light irradiation under a humidity-controlled environment are established. The optimum parameters of irradiation time for n-type and p-type samples are made.
    Type: Grant
    Filed: June 22, 2017
    Date of Patent: July 17, 2018
    Assignee: NATIONAL APPLIED RESEARCH LABORATORIES
    Inventors: Mao-Nan Chang, Tsung-Yu Chan, Chia-Yi Wu, Chun-Ting Lin, Ming-Hua Shiao
  • Patent number: 9761583
    Abstract: A method for making connection elements between two different levels of components in a 3D integrated circuit, including: forming a lateral insulating area supported on at least one given conducting area among several interconnection areas on a first level of components, the insulating area extending around a semiconducting layer on a second level in which at least one transistor can be formed; removing a first portion of the lateral insulating area so as to form at least one hole exposing said given conducting area; and depositing a conducting material in the hole so as to form a first electrical connection element between the second component and the given conducting area.
    Type: Grant
    Filed: June 8, 2016
    Date of Patent: September 12, 2017
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Claire Fenouillet-Beranger, Bernard Previtali, Olivier Rozeau
  • Patent number: 9735009
    Abstract: The present disclosure generally relates to methods for removing contaminants and native oxides from substrate surfaces. The method includes exposing a surface of the substrate to first hydrogen radical species, wherein the substrate is silicon germanium having a concentration of germanium above about 30%, then exposing the surface of the substrate to a plasma formed from a fluorine-containing precursor and a hydrogen-containing precursor, and then exposing the surface of the substrate to second hydrogen radical species.
    Type: Grant
    Filed: September 4, 2015
    Date of Patent: August 15, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bo Zheng, Avgerinos V. Gelatos, Anshul Vyas, Raymond Hoiman Hung
  • Patent number: 9576788
    Abstract: A method of removing an amorphous silicon/silicon oxide film stack from vias is described. The method may involve a remote plasma comprising fluorine and a local plasma comprising fluorine and a nitrogen-and-hydrogen-containing precursor unexcited in the remote plasma to remove the silicon oxide. The method may then involve a local plasma of inert species to potentially remove any thin carbon layer (leftover from the photoresist) and to treat the amorphous silicon layer in preparation for removal. The method may then involve removal of the treated amorphous silicon layer with several options possibly within the same substrate processing region. The bottom of the vias may then possess exposed single crystal silicon which is conducive to epitaxial single crystal silicon film growth. The methods presented herein may be particularly well suited for 3d NAND (e.g. VNAND) device formation.
    Type: Grant
    Filed: April 24, 2015
    Date of Patent: February 21, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Jie Liu, Seung Park, Anchuan Wang, Zhenjiang Cui, Nitin K. Ingle
  • Patent number: 9558962
    Abstract: A method for passivating a surface of a semiconductor substrate with fluorine-based layer to protect the surface against oxidation and allow longer queue times. According to one embodiment, the method includes providing a substrate having an oxidized layer formed thereon, replacing the oxidized layer with a fluorine-based layer, exposing the fluorine-based layer to an oxidizing atmosphere, where the fluorine-based layer protects the substrate against oxidation by the oxidizing atmosphere, and removing the fluorine-based layer from the substrate using a plasma process.
    Type: Grant
    Filed: August 11, 2015
    Date of Patent: January 31, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara N. Tapily, Fumitaka Amano
  • Patent number: 9558955
    Abstract: A method for forming a semiconductor device structure is provided. The method includes forming a metal gate stack over a semiconductor substrate. The method also includes performing a hydrogen-containing plasma treatment on the metal gate stack to modify a surface of the metal gate stack. The hydrogen-containing plasma treatment includes exciting a gas mixture including a first hydrogen-containing gas and a second hydrogen-containing gas to generate a hydrogen-containing plasma.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: January 31, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ru-Shang Hsiao, Chi-Cherng Jeng, Chih-Mu Huang, Shin-Yeu Tsai, Fang-Wei Lin
  • Patent number: 8853086
    Abstract: Embodiments of the present disclosure relate to methods for pretreatment of substrates and group III-nitride layers for manufacturing devices such as light emitting diodes (LEDs), laser diodes (LDs) or power electronic devices. One embodiment of the present disclosure provides a method including providing one or more substrates having an aluminum containing surface in a processing chamber and exposing a surface of each of the one or more substrates having an aluminum containing surface to a pretreatment gas mixture to form a pretreated surface. The pretreatment gas mixture includes ammonia (NH3), an aluminum halide gas (e.g., AlCl3, AlCl) and an etchant containing gas that includes a halogen gas (e.g., Cl2) or hydrogen halide gas (e.g., HCl).
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: October 7, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Yuriy Melnik, Lu Chen, Hidehiro Kojiri
  • Patent number: 8703605
    Abstract: A method for forming a contact opening, such as a via hole, is provided. In the method, a sacrificial layer is deposited over a damascene feature prior to exposing a conductor formed in a substrate at a bottom of the opening. The sacrificial layer is provided to prevent damage or contamination of materials used. Even after the conductor has been exposed once or more times, the sacrificial layer can be deposited over the damascene feature to protect it from further damage or contamination by a subsequent process that will further expose the conductor at the contact opening bottom. The exposing step may form a recess in the conductor. By further forming a trench feature over the contact opening, a dual damascene feature can be fabricated.
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: April 22, 2014
    Inventor: Byung Chun Yang
  • Patent number: 8697504
    Abstract: A method of manufacturing an organic thin film transistor, comprising: providing a substrate comprising source and drain electrodes defining a channel region; subjecting at least the channel region to a cleaning treatment step; and depositing organic semiconductive material from solution into the channel region by inkjet printing.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: April 15, 2014
    Assignee: Cambridge Display Technology Limited
    Inventors: Mark Bale, Craig Murphy
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8420544
    Abstract: A method for fabricating an interconnection structure includes the following steps. Firstly, a substrate having a first conductive layer thereon is provided. Next, an ultra low-k material layer is formed on the substrate. Next, a portion of the ultra low-k material layer is removed, so as to form an opening to expose the first conductive layer. Next, a dry-cleaning process is performed by using gas, so as to clean a surface of the first conductive layer exposed by the opening. The dry-cleaning process is performed at a temperature in a range from the room temperature to 100° C.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: April 16, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Fu Huang, Chi-Mao Hsu, Tsun-Min Cheng, Chin-Fu Lin
  • Patent number: 8404052
    Abstract: A method for cleaning the surface of a silicon substrate, covered by a layer of silicon oxide includes: a) exposing the surface for 60 to 900 seconds to a radiofrequency plasma, generated from a fluorinated gas, to strip the silicon oxide layer and induce the adsorption of fluorinated elements on the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the fluorinated gas pressure being 10 mTorrs to 200 mTorrs, and the substrate temperature being lower than or equal to 300° C.; and b) exposing the surface including the fluorinated elements for 5 to 120 seconds to a hydrogen radiofrequency plasma, to remove the fluorinated elements from the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the hydrogen pressure being 10 mTorrs to 1 Torr, and the substrate temperature being lower than or equal to 300° C.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: March 26, 2013
    Assignees: Centre National de la Recherche Scientifique, Ecole Polytechnique
    Inventors: Pere Roca I Cabarrocas, Mario Moreno
  • Patent number: 8389389
    Abstract: Provided are a semiconductor layer manufacturing method and a semiconductor manufacturing apparatus capable of forming a high quality semiconductor layer even by a single chamber system, with a shortened process time required for reducing a concentration of impurities that exist in a reaction chamber before forming the semiconductor layer. A semiconductor device manufactured using such a method and apparatus is also provided.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: March 5, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Katsushi Kishimoto, Yusuke Fukuoka
  • Patent number: 8268675
    Abstract: Methods of protecting a surface of a copper layer or a copper bonding pad on a semiconductor device against oxidation. A surface of the layer or bonding pad is cleaned by removing an oxidation layer with a plasma. A polymer layer is formed on the cleaned surface of the layer using a plasma-enhanced deposition process to protect the cleaned surface of the layer against exposure to an oxidizing gas.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: September 18, 2012
    Assignee: Nordson Corporation
    Inventors: David Keating Foote, James Donald Getty
  • Patent number: 8242498
    Abstract: A compound semiconductor substrate 10 according to the present invention is comprised of a Group III nitride and has a surface layer 12 containing a chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and an oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, at a surface. The inventors conducted elaborate research and newly discovered that when the surface layer 12 at the surface of the compound semiconductor substrate 10 contained the chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and the oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, Si was reduced at an interface between the compound semiconductor substrate 10 and an epitaxial layer 14 formed thereon and, as a result, the electric resistance at the interface was reduced.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: August 14, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Fumitake Nakanishi
  • Patent number: 8207060
    Abstract: The present invention provides a method of forming a contact opening, such as a via hole, in which a sacrificial layer is deposited prior to exposing a conductor formed in a substrate at a bottom side of the opening to prevent damage and contamination to the materials constituting an integrated circuit device from happening. The exposing may or may not form a recess in the conductor. The present invention also provides a method of forming a contact opening having a recess in the conductor wherein a sacrificial layer is not deposited until the conductor is exposed, but deposited before a recess is formed in the conductor so that a major damage and contamination related to the recess formation can be prevented. By forming a trench feature over a contact opening formed by using the present invention, a dual damascene feature can be fabricated.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: June 26, 2012
    Inventor: Byung Chun Yang
  • Patent number: 8153527
    Abstract: A method for fabricating a semiconductor device is provided. The method comprising forming a first layer over a substrate and a second layer over the first layer. A patterned masking layer is subsequently provided over the second layer and a patterned second layer with outwardly tapered sidewalls is formed by isotropically etching exposed portions of the second layer. A patterned first layer is the formed by etching the first layer in accordance with the patterned second layer.
    Type: Grant
    Filed: October 13, 2008
    Date of Patent: April 10, 2012
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Soon Yoong Loh, Carol Goh, Kin Wai Tang, Kim Foong Kong
  • Patent number: 7993938
    Abstract: A method of forming a highly doped layer of AlGaN, is practiced by first removing contaminants from a MBE machine. Wafers are then outgassed in the machine at very low pressures. A nitride is then formed on the wafer and an AlN layer is grown. The highly doped GaAlN layer is then formed having electron densities beyond 1×1020 cm?3 at Al mole fractions up to 65% are obtained. These levels of doping application of n-type bulk, and n/p tunnel injection to short wavelength UV emitters. Some applications include light emitting diodes having wavelengths between approximately 254 and 290 nm for use in fluorescent light bulbs, hazardous materials detection, water purification and other decontamination environments. Lasers formed using the highly doped layers are useful in high-density storage applications or telecommunications applications. In yet a further embodiment, a transistor is formed utilizing the highly doped layer as a channel.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: August 9, 2011
    Assignee: Cornell Research Foundation, Inc.
    Inventors: William J. Schaff, Jeonghyun Hwang
  • Patent number: 7994066
    Abstract: A method is disclosed for the cleaning of a Si surface at low temperatures. Oxide on the Si surface is brought into contact with Ge, which then sublimates off the surface. The Ge contamination remaining after the oxide removal is cleared away by an exposure to an alkali halide. The disclosed cleaning method may by used in semiconductor circuit fabrication for preparing surfaces ahead of epitaxial growth.
    Type: Grant
    Filed: October 13, 2007
    Date of Patent: August 9, 2011
    Assignee: Luxtera, Inc.
    Inventors: Giovanni Capellini, Gianlorenzo Masini, Lawrence C. Gunn, III, Jeremy Witzens, Joseph W. White
  • Patent number: 7927988
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes forming a first layer, a second layer, an ion implantation layer between the first and second layers, and an anti-oxidation layer on the second layer, and performing a heat treating process to form an insulating layer between the first and second layers while preventing loss of the second layer using the anti-oxidation layer.
    Type: Grant
    Filed: June 21, 2009
    Date of Patent: April 19, 2011
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: In-Gyoo Kim, O-Kyun Kwon, Dong-Woo Suh, Gyung-Ock Kim
  • Patent number: 7863609
    Abstract: A compound semiconductor substrate 10 according to the present invention is comprised of a Group III nitride and has a surface layer 12 containing a chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and an oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, at a surface. The inventors conducted elaborate research and newly discovered that when the surface layer 12 at the surface of the compound semiconductor substrate 10 contained the chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and the oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, Si was reduced at an interface between the compound semiconductor substrate 10 and an epitaxial layer 14 formed thereon and, as a result, the electric resistance at the interface was reduced.
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: January 4, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Fumitake Nakanishi
  • Patent number: 7846845
    Abstract: A method and system for removing volatile residues from a substrate are provided. In one embodiment, the volatile residues removal process is performed en-routed in the system while performing a halogen treatment process on the substrate. The volatile residues removal process is performed in the system other than the halogen treatment processing chamber and a FOUP. In one embodiment, a method for volatile residues from a substrate includes providing a processing system having a vacuum tight platform, processing a substrate in a processing chamber of the platform with a chemistry comprising halogen, and treating the processed substrate in the platform to release volatile residues from the treated substrate.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth J. Bahng, Matthew Fenton Davis, Thorsten Lill, Steven H. Kim
  • Publication number: 20100304524
    Abstract: A manufacturing method of a thin film solar cell comprises performing dry cleaning of an insulation substrate on which a transparent electrode is formed, patterning the transparent electrodes to be spaced apart from each other, performing dry cleaning of the patterned transparent electrodes, forming a semiconductor layer on surfaces of the transparent electrodes and patterning a metal electrode on the semiconductor layer.
    Type: Application
    Filed: May 26, 2009
    Publication date: December 2, 2010
    Inventors: Seung-Yeop Myong, Boung-Kwon Lim
  • Patent number: 7829457
    Abstract: In some embodiments, after depositing conductive material on substrates in a deposition chamber, a reducing gas is introduced into as the chamber in preparation for unloading the substrates. The deposition chamber can be a batch CVD chamber and the deposited material can be a metal nitride, e.g., a transition metal nitride such as titanium metal nitride. As part of the preparation for unloading substrates from the chamber, the substrates may be cooled and the chamber is backfilled with a reducing gas to increase the chamber pressure. It has been found that oxidants can be introduced into the chamber during this time. The introduction of a reducing gas has been found to protect exposed metal-containing films from oxidation during the backfill and/or cooling process. The reducing gas is formed of a reducing agent and a carrier gas, with the reducing agent being a minority component of the reducing gas.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: November 9, 2010
    Assignee: ASM International N.V.
    Inventors: Tatsuya Yoshimi, Rene de Blank, Jerome Noiray
  • Patent number: 7825026
    Abstract: A gas inlet is disposed in a lower portion of a reaction chamber, a copper substrate is disposed in an upper portion thereof, and a tungsten catalytic body heated to 1600° C. is disposed midway between the two. Ammonia gas introduced from the gas inlet is decomposed by the tungsten catalytic body, a chemical species generated by the decomposition reacts with a surface of the copper substrate, and reduces and removes a contaminant on the copper surface, and a Cu3N thin film is formed on the copper substrate surface. This Cu3N film has the action of a film which prevents the oxidation of copper. This Cu3N film is thermally decomposed and removed when heated to temperatures of not less than 300° C., leaving a clean copper surface behind.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: November 2, 2010
    Assignee: Kyushu Institute of Technology
    Inventors: Akira Izumi, Masamichi Ishihara
  • Patent number: 7816253
    Abstract: When an interconnect structure is built on porous ultra low k (ULK) material, the bottom of the trench and/or via is usually damaged by a following metallization process which may be suitable for dense higher dielectric materials. Embodiment of the present invention may provide a method of forming an interconnect structure on an inter-layer dielectric (ILD) material. The method includes steps of treating an exposed area of said ILD material to create a densified area, and metallizing said densified area.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Shyng-Tsong Chen, Qinghuang Lin, Kelly Malone, Sanjay Mehta, Terry A. Spooner, Chih-Chao Yang
  • Publication number: 20100248426
    Abstract: A process for assembling a Chip-On-Lead packaged semiconductor device includes the steps of: mounting and sawing a wafer to provide individual semiconductor dies; performing a first molding operation on a lead frame; depositing epoxy on the lead frame via a screen printing process; attaching one of the singulated dies on the lead frame with the epoxy, where the die attach is done at room temperature; and curing the epoxy in an oven. Throughput improvements may be ascribed to not including a hot die attach process. An optional plasma cleaning step may be performed, which greatly improves wire bonding quality and a second molding quality. In addition, since a first molding operation is performed before the formation of epoxy to avoid the problem of the epoxy hanging in the air, the delamination risk between the epoxy and the die is avoided.
    Type: Application
    Filed: March 19, 2010
    Publication date: September 30, 2010
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventors: Zhe Li, Qingchun He, Guanhua Wang, Zhijie Wang, Nan Xu
  • Patent number: 7790477
    Abstract: Contaminants from surfaces of temperature sensitive substrates, such as glass substrates are removed by exposing the surfaces to a hydrogen Surface-mixed diffusion flame for a predetermined duration of time. The predetermined duration of time being insufficient to heat up the surfaces substantially thereby causing damage to the temperature sensitive substrates.
    Type: Grant
    Filed: February 6, 2009
    Date of Patent: September 7, 2010
    Assignee: Agency For Science, Technology And Research
    Inventors: David Tee Liang, Tuti Mariana Lim, Sau Ngen Chen
  • Patent number: 7781314
    Abstract: Affords a manufacturing method enabling nitride-based semiconductor devices containing epitaxial films excelling in flatness and crystallinity to be easily produced. Method of manufacturing nitride semiconductor devices that are formed onto a semiconductor substrate being a compound containing nitrogen, and a Group IIIA element for forming compounds with nitrogen, including steps of: heating the semiconductor substrate (1) to a film-deposition temperature; supplying to the substrate a film-deposition gas containing a source gas for the Group IIIA element and a nitrogen source gas; and epitaxially growing onto the semiconductor substrate a thin film (2) of a compound containing nitrogen and the Group IIIA element; and being furnished with a step, in advance of the epitaxial growth step, of heating the semiconductor substrate to a pretreating temperature less than the film-deposition temperature, to clean the surface of the semiconductor substrate.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: August 24, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takashi Kyono, Masaki Ueno
  • Patent number: 7745337
    Abstract: A method that includes forming a gate of a semiconductor device on a substrate, and etching sidewall spacers on sides of the gate to provide a proximity value, where the proximity value is defined as a distance between the gate and an edge of a performance-enhancing region. The sidewall spacers are used to define the edge of the region during formation of the region in the substrate. The method also includes pre-cleaning the gate and the substrate in preparation for formation of the region, where the etching and the pre-cleaning are performed in a continuous vacuum.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: June 29, 2010
    Assignee: Globalfoundries Inc.
    Inventors: David G. Farber, Fred Hause, Markus Lenski, Anthony C. Mowry
  • Patent number: 7682991
    Abstract: A method of manufacturing a silicon carbide semiconductor device includes forming a trench for a MOS gate in an SiC substrate by dry etching. Thereafter, the substrate with the trench is heat treated. The heat treatment includes heating the substrate in an Ar gas atmosphere or in a mixed gas atmosphere containing SiH4 and Ar at a temperature between 1600° C. and 1800° C., and thereafter in a hydrogen gas atmosphere at a temperature between 1400° C. and 1500° C. The present manufacturing method smoothens the trench inner surface and rounds the corners in the trench to prevent the electric field from localizing thereto.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: March 23, 2010
    Assignee: Fuji Electric Device Technology Co., Ltd.
    Inventors: Yasuyuki Kawada, Takeshi Tawara, Tae Tawara
  • Patent number: 7670952
    Abstract: A method of manufacturing a semiconductor device, comprising forming a metal silicide gate electrode on a semiconductor substrate surface. The method also comprises exposing the metal silicide gate electrode and the substrate surface to a cleaning process. The cleaning process includes a dry plasma etch using an anhydrous fluoride-containing feed gas and a thermal sublimation configured to leave the metal silicide gate electrode substantially unaltered. The method also comprises depositing a metal layer on source and drain regions of the substrate surface and annealing the metal layer and the source and drain regions of the substrate surface to form metal silicide source and drain contacts.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: March 2, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Yaw S. Obeng, Juanita DeLoach, Freidoon Mehrad
  • Patent number: 7582536
    Abstract: An electronic device contains a substrate, a sub-collector supported by the substrate, an un-doped layer having a selectively implanted buried sub-collector and supported by the sub-collector, an As-based nucleation layer partially supported by the un-doped layer, a collector layer supported by the As-based nucleation layer, a base layer supported by the collector layer, an emitter layer and a base contact supported by the base layer, an emitter cap layer supported by the emitter layer, an emitter contact supported by the emitter cap layer, and a collector contact supported by the sub-collector. A method provides for selecting a first InP layer, forming an As-based nucleation layer on the first InP layer, and epitaxially growing a second InP layer on the As-based nucleation layer.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: September 1, 2009
    Assignee: HRL Laboratories, LLC
    Inventors: Rajesh D. Rajavel, Mary Y. Chen, Steven S. Bui, David H. Chow, James Chingwei Li, Mehran Mokhtari, Marko Sokolich
  • Patent number: 7566662
    Abstract: Provided is a method of manufacturing a semiconductor device. After a semiconductor wafer is placed over a wafer stage with which a dry cleaning chamber of a film forming apparatus is equipped, dry cleaning treatment is given over the surface of the semiconductor wafer with a reducing gas. Then, the semiconductor wafer is heat treated at a first temperature of from 100 to 150° C. by using a shower head kept at 180° C. The semiconductor wafer is then vacuum-transferred to a heat treatment chamber, wherein the semiconductor wafer is heat treated at a second temperature of from 150 to 400° C. A product remaining over the main surface of the semiconductor wafer is thus removed. The present invention makes it possible to manufacture a semiconductor device having improved reliability and production yield by reducing variations in the electrical properties of a nickel silicide layer.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: July 28, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Takuya Futase, Hideaki Tsugane, Mitsuo Kimoto, Hidenori Suzuki
  • Patent number: 7544603
    Abstract: A method of fabricating a silicon nitride layer is described. First, a substrate is provided. Then, a silicon nitride layer is formed on the substrate. The silicon nitride layer is UV-cured in an atmosphere lower than the standard atmospheric pressure. Through the UV curing treatment, the tensile stress of the silicon nitride layer is increased.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: June 9, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Hsiu-Lien Liao
  • Patent number: 7524769
    Abstract: A method and system for processing a substrate includes providing the substrate in a process chamber, where the substrate contains an oxide layer formed thereon, exciting a hydrogen-containing gas in a remote plasma source coupled to the process chamber, and exposing the substrate to a flow of the excited hydrogen-containing gas at a first substrate temperature lower than about 900° C. to remove the oxide layer from the substrate. The substrate is then maintained at a second temperature different than the first substrate temperature, and a silicon-containing film is formed on the substrate at the second substrate temperature.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: April 28, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Anthony Dip, Allen John Leith, Seungho Oh
  • Publication number: 20090093123
    Abstract: Provided is a spin head for supporting a substrate. The spin head includes a rotatable body, and chuck pins protruding upward from the body and configured to support an edge of a substrate placed at the body when the body is rotated. Each of the chuck pins includes a vertical rod vertically disposed at the body, and a support rod extending from a side of the vertical rod and configured to make contact with the edge of the substrate placed at the body when the body is rotated. When the substrate is rotated, the vertical rod is spaced apart from the edge of the substrate. The contact portion includes a streamlined side surface. The support rod includes a contact portion. The contact portion tapers toward the end of the support rod when viewed from the top of the support rod.
    Type: Application
    Filed: August 4, 2008
    Publication date: April 9, 2009
    Inventors: Woo-Seok Lee, Woo-Young Kim, Jeong-Yong Bae
  • Patent number: 7514357
    Abstract: Electrical characteristics of a semiconductor device may be enhanced by completely removing a residue such as a polymer formed in a trench when the semiconductor device is manufactured by a method including: forming a via hole and a trench on a semiconductor substrate by an etching process; coating a photoresist on an entire surface of the semiconductor substrate such that the via hole and the trench may be filled thereby; removing a polymer defect in the trench while removing the coated photoresist by a plasma treatment under predetermined process conditions; and performing a wet cleaning process so as to remove a residue of the photoresist.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: April 7, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Bo-Yeoun Jo
  • Patent number: 7504267
    Abstract: Contaminants from surfaces of temperature sensitive substrates, such as glass substrates are removed by exposing the surfaces to a hydrogen Surface-mixed diffusion flame for a predetermined duration of time. The predetermined duration of time being insufficient to heat up the surfaces substantially thereby causing damage to the temperature sensitive substrates.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: March 17, 2009
    Assignee: Agency For Science, Technology and Research
    Inventors: David Tee Liang, Tuti Mariana Lim, Sau Ngen Chen
  • Publication number: 20090042397
    Abstract: A copper re-deposition preventing method includes placing inside a chamber a target substrate with a film including a copper-containing substance and formed thereon, and performing removal of the copper-containing substance from the target substrate placed inside the chamber, by dry cleaning using an organic compound. Then, the method includes unloading from the chamber the target substrate processed by the removal of the copper-containing substance, and depositing a coating film inside the chamber, in which the target substrate processed by the removal of the copper-containing substance is no longer present, thereby covering copper-containing scattered particles left inside the chamber.
    Type: Application
    Filed: July 23, 2008
    Publication date: February 12, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hidenori MIYOSHI
  • Publication number: 20090029529
    Abstract: Disclosed is a method for cleaning a semiconductor device to remove native oxides or by-products created in the process of forming silicon germanium layers. The use of the method enables removal of native oxides or by-products created in the process of forming silicon germanium layers using hydrogen bromide and prevents reoxidation which may occur in subsequent processes after forming silicon germanium layers.
    Type: Application
    Filed: July 18, 2008
    Publication date: January 29, 2009
    Inventor: Jong-Hun Shin
  • Patent number: 7479416
    Abstract: A method of manufacturing a thin film transistor array panel is provided, which includes: forming a thin film transistor including a gate electrode, a drain electrode, a source electrode and a semiconductor on a substrate; forming a first passivation layer on the drain and the source electrodes; forming a transparent conductive layer on the first passivation layer; etching the transparent conductive layer using a photoresist as an etch mask to expose the portion of the first passivation layer and to form a pixel electrode connected the drain electrode; ashing the first passivation layer and the photoresist; and removing the photoresist.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: January 20, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Min Park, Jin-Goo Jung, Chun-Gi You
  • Patent number: 7476609
    Abstract: A method for forming, by dry etch, an opening of a given shape in a silica glass layer, the layer having a doping profile similar to the shape and the etch plasma being a non-carbonated fluorinated plasma causing a non-directional etching.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: January 13, 2009
    Assignee: STMicroelectronics S.A.
    Inventor: Fabienne Judong
  • Patent number: 7452822
    Abstract: A method for forming a dual damascene structure in a semiconductor device manufacturing process where via plugs which may include a thickness portion of a plug filling material overlying the process surface is formed by diffusing an acid into a plug filling material layer followed by reacting the acid with the plug filling material layer to form a soluble portion which is then removed using a solvent. A remaining portion of the plug filling material is cured and a BARC layer may be formed over the process surface prior to patterning trenches in an overlying resist layer and forming a dual damascene structure.
    Type: Grant
    Filed: February 13, 2006
    Date of Patent: November 18, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jen-Chieh Shih, Bang-Ching Ho, Jian-Hong Chen