Combining Dry And Wet Cleaning Steps (epo) Patents (Class 257/E21.229)
  • Patent number: 7560369
    Abstract: The present invention provides a method of forming metal lines in a semiconductor device having advantages of preventing an “explosion” phenomenon during a dual damascene process so as to improve the yield of the device. An exemplary embodiment of the present invention includes removing etching residues by wet cleaning the semiconductor substrate after forming the via hole, dry cleaning the semiconductor substrate after the wet cleaning, and forming a second metal line that is electrically connected with the first metal line through the via hole.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: July 14, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jea-Hee Kim
  • Patent number: 7560386
    Abstract: A method of manufacturing a nonvolatile semiconductor memory device may include forming a pad oxide layer pattern and a mask pattern on a semiconductor substrate, forming a trench within the semiconductor substrate with the mask pattern functioning as an etching mask, sequentially forming a first device isolation layer and a second device isolation layer that may fill the trench, forming an opening by removing the mask pattern to expose an upper surface of the pad oxide layer pattern and a sidewall of the second device isolation layer, and forming a floating gate forming region having a width wider than the opening by simultaneously removing the pad oxide layer pattern and a sidewall portion of the second device isolation layer exposed by the opening.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: July 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-hoon Cha, Woo-gwam Shim, Dong-gyun Han, Chang-ki Hong, Seung-pil Chung
  • Patent number: 7557046
    Abstract: Systems and methods for single lithography step interconnection metallization using a stop-etch layer are described. A method comprises depositing a stop-etch layer over a semiconductor device, depositing an interconnect metallization material over the stop-etch layer, performing a single lithography step to pattern a mask over the interconnect metallization material, etching the interconnect metallization material in non-masked areas, and removing the stop-etch layer. A system comprises means for depositing the stop-etch layer over a wafer, means for depositing an interconnected metallization layer over the chrome layer, means for patterning a mask over the interconnect metallization layer, means for etching the interconnect metallization layer, where the etching stops at the stop-etch layer, and means for removing the stop-etch layer.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: July 7, 2009
    Assignee: Northrop Grumman Systems Corporation
    Inventor: John V. Veliadis
  • Patent number: 7557002
    Abstract: Some embodiments include formation of at least one cavity in a first semiconductor material, followed by epitaxially growing a second semiconductor material over the first semiconductor material and bridging across the at least one cavity. The cavity may be left open, or material may be provided within the cavity. The material provided within the cavity may be suitable for forming, for example, one or more of electromagnetic radiation interaction components, transistor gates, insulative structures, and coolant structures. Some embodiments include one or more of transistor devices, electromagnetic radiation interaction components, transistor devices, coolant structures, insulative structures and gas reservoirs.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: July 7, 2009
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Eric R. Blomiley
  • Patent number: 7553774
    Abstract: In a method of fabricating a semiconductor optical device, insulating structures for an alignment mark for use in electron beam exposure are formed on a primary surface of a first group III-V semiconductor region. After forming the insulating structures, a second group III-V semiconductor region is grown on the first group III-V semiconductor region to form an epitaxial wafer. The height of the insulating structures is larger than thickness of the second group III-V semiconductor region. After forming the second group III-V semiconductor region, alignment for the electron beam exposure is performed. After the alignment, a resist is exposed to an electron beam to form a resist mask. The resist mask has a pattern for a diffraction grating, and the resist is on the epitaxial wafer.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: June 30, 2009
    Assignee: Sumitomo Electric Industries Ltd.
    Inventor: Toshio Nomaguchi
  • Patent number: 7550323
    Abstract: A metal layer is deposited on the patterned semiconductor material layer containing a cathode semiconductor portion, a fuselink semiconductor portion, and an anode semiconductor portion. The metal layer may be patterned so that a middle portion of the fuselink semiconductor portion has a thin metal layer, which upon annealing produces a thinner metal semiconductor alloy portion than surrounding metal semiconductor alloy portion on the fuselink semiconductor portion. Alternatively, a middle portion of the metal semiconductor alloy having a uniform thickness throughout the fuselink may be lithographically patterned and etched to form a thin metal semiconductor alloy portion in the middle of the fuselink, while thick metal semiconductor alloy portions are formed on the end portions of the fuselink. The resulting inventive electrical fuse has interfaces at which a thinner metal semiconductor alloy abuts a thicker metal semiconductor alloy in the fuselink to enhance the divergence of electrical current.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: June 23, 2009
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, William K. Henson, Deok-kee Kim, Chandrasekharan Kothandaraman
  • Patent number: 7547627
    Abstract: It is an object of the present invention to provide a semiconductor device including a wiring having a preferable shape. A manufacturing method includes the steps of forming a first conductive layer connected to an element and a second conductive layer thereover; forming a resist mask over the second conductive layer; processing the second conductive layer by dry etching with the use of the mask; and processing the first conductive layer by wet etching with the mask left, wherein the etching rate of the second conductive layer is higher than that of the first conductive layer in the dry etching, and wherein the etching rate of the second conductive layer is the same as or more than that of the first conductive layer in the wet etching.
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: June 16, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Satoru Okamoto, Teruyuki Fujii, Hideto Ohnuma, Akihiro Ishizuka
  • Patent number: 7538005
    Abstract: A semiconductor device is composed of: an interconnect made of a first conductive film and a second conductive film that are stacked in sequence from the interconnect underside on an insulating film formed on a substrate; and a capacitor composed of a lower capacitor electrode made of the first conductive film, a dielectric film formed on the lower capacitor electrode, and an upper capacitor electrode made of the second conductive film and formed on the dielectric film.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: May 26, 2009
    Assignee: Panasonic Corporation
    Inventors: Kyoko Egashira, Shin Hashimoto
  • Patent number: 7535077
    Abstract: A semiconductor device having a semiconductor substrate includes an active region for forming transistors in which a gate is installed. An element isolation region for isolating each of transistors from others includes an ASTI structure. A stress region is located at the interface with the element isolation region within the active region. In the stress region, a potential stress caused by the difference between a material for the element isolation region and a material of the semiconductor substrate is generated, so that a first impurity region for a source and/or a drain is formed in the active region in which the gate is not formed and/or forming the element isolation region. A first impurity region at least includes a first impurity for a source and/or a drain, which is formed in the active region except the stress region and the gate. A second ion impurity region includes a second impurity, each of which mass is smaller than the first impurity, at least in a region having the stress region.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: May 19, 2009
    Assignee: Seiko Epson Corporation
    Inventor: Kanshi Abe
  • Patent number: 7531440
    Abstract: A semiconductor laser device includes an n-type cladding layer 103 made of n?type (Al0.3Ga0.7)0.5In0.5P, an undoped active layer 104 and a first p-type cladding layer 105 made of p?type (Al0.3Ga0.7)0.5In0.5P. These layers are successively stacked in bottom-to-top order. The active layer 104 has a multi-quantum well structure composed of a first optical guide layer of undoped Al0.4Ga0.6As, a layered structure in which well layers of undoped GaAs and barrier layers of undoped Al0.4Ga0.6As are alternately formed, and a second optical guide layer of undoped Al0.4Ga0.6As. The first optical guide layer, the layered structure and the second optical guide layer are successively stacked in bottom-to-top order.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: May 12, 2009
    Assignee: Panasonic Corporation
    Inventor: Tsutomu Ukai
  • Patent number: 7528076
    Abstract: A method of manufacturing gate oxide layers with different thicknesses is disclosed. The method includes that a substrate is provided first. The substrate has a high voltage device region and a low voltage device region. Then, a high voltage gate oxide layer is formed on the substrate. Afterwards, a first wet etching process is performed to remove a portion of the high voltage gate oxide layer in the low voltage device region. Then, a second wet etching process is performed to remove the remaining high voltage gate oxide layer in the low voltage device region. The etching rate of the second wet etching process is smaller than that of the first wet etching process. Next, a low voltage gate oxide layer is formed on the substrate in the low voltage device region.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: May 5, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shu-Chun Chan, Jung-Ching Chen, Shyan-Yhu Wang
  • Patent number: 7528009
    Abstract: This invention relates to a wafer-leveled chip packaging method, comprising the steps of: providing a wafer; attaching at least one first chip to the wafer; forming a first insulating layer on the wafer; forming a plurality of first conductive vias penetrating the first insulating layer, wherein parts of the first conductive vias are electrically connected with the first chip; forming a conductive pattern layer on the surface of the first insulating layer wherein the conductive pattern layer is electrically connected with the first conductive vias; forming a plurality of through holes penetrating the wafer; filling a second insulating layer in the through holes; and forming a plurality of second conductive vias in the second insulating layer, wherein the second conductive vias are electrically connected with the first conductive vias.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: May 5, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Shou-Lung Chen, Ching-Wen Hsiao, Yu-Hua Chen, Jeng-Dar Ko, Chih-Ming Tzeng, Jyh-Rong Lin, Shan-Pu Yu
  • Patent number: 7524733
    Abstract: According to some embodiments of the invention, a method includes preparing a semiconductor substrate having an active region, doping channel ions in the active region, forming a planarized selective epitaxial growth (SEG) layer in a predetermined region of the active region doped with the channel ions, sequentially forming a gate insulating layer, a gate conductive layer and a gate hard mask layer on the semiconductor substrate having the planarized SEG layer, forming a gate pattern crossing the active region by sequentially patterning the gate hard mask layer and the gate conductive layer, the planarized SEG layer being located at one side of the gate pattern, and forming source/drain regions by implanting impurity ions using the gate pattern as an ion implantation mask. Accordingly, there is provided an asymmetric source/drain transistor capable of preventing a leakage current by diffusing the channel ions into the SEG layer.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyeoung-Won Seo, Nak-Jin Son, Du-Heon Song, Jun Seo
  • Patent number: 7524742
    Abstract: A process and structure for a metal interconnect includes providing a substrate with a first electric conductor, forming a first dielectric layer and a first patterned hard mask, using the first patterned hard mask to form a first opening and a second electric conductor, forming a second dielectric layer and a second patterned hard mask, using the second patterned hard mask as an etching mask and using a first patterned hard mask as an etch stop layer to form a second opening and a third electric conductor.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: April 28, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Chun-Jen Huang
  • Patent number: 7521368
    Abstract: The present invention provides a method for manufacturing a semiconductor device having high characteristic and reliability. The etching damage during dry etching after forming an electrode or a wiring over an insulating film is prevented. The damage is suppressed by forming a conductive layer so that charged particles due to plasma during dry etching are not generated in a semiconductor layer. Accordingly, it is an object of the invention to provide a method not for generating the deterioration of the transistor characteristic especially in a thin film transistor having a minute structure.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: April 21, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tetsuji Yamaguchi, Etsuko Asano, Naomi Yazaki, Tomoya Futamura, Tomoko Nishikawa
  • Patent number: 7518214
    Abstract: An integrated circuit of a semiconductor device has a line type of pattern that is not prone to serious RC delays. The integrated circuit has a line formed of at least a layer of polycrystalline silicon, a layer of metal having a low sheet resistance, and a layer of a barrier metal interposed between the polycrystalline silicon and the metal having a low sheet resistance, and first spacers disposed on the sides of the line, respectively, and is characterized in that the line has recesses at the sides of the barrier layer and the first spacers fill the recesses. The integrated circuit may constitute a gate line of a semiconductor device. The integrated circuit is formed by forming layers of polycrystalline silicon, metal having a low sheet resistance, and a barrier metal one atop the other, patterning the layers into a line, etching the same to form the recesses, and then forming the first spacers.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-chan Lim, Byung-hee Kim, Tae-ho Cha, Hee-sook Park, Geum-jung Seong
  • Patent number: 7517707
    Abstract: Electrical testing is to be performed on a semiconductor integrated circuit device which the test pads formed. To facilitate such testing, the method of manufacture of the semiconductor integrated circuit device employs a probe card which has two or more contact terminals which can contact two or more electrodes. This probe card includes, in opposition to a wiring substrate of the semiconductor integrated circuit device in which a first wiring is formed, a first sheet having two or more contact terminals to contact the two or more electrodes; a second wiring electrically connected to the two or more contact terminals and the first wiring; and first dummy wirings which are near the region of formation of the two or more contact terminals, are arranged to a non-forming region of the second wiring, and do not participate in signal transfer.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: April 14, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Masayoshi Okamoto, Hideyuki Matsumoto, Shingo Yorisaki, Akio Hasebe, Yasuhiro Motoyama, Akira Shimase
  • Patent number: 7510970
    Abstract: In order to provide an anticorrosive technique for metal wirings formed by a chemical mechanical polishing (CMP) method, a process for manufacturing a semiconductor integrated circuit device according to the invention comprises the steps of: forming a metal layer of Cu (or a Cu alloy containing Cu as a main component) over the major face of a wafer and then planarizing the metal layer by a chemical mechanical polishing (CMP) method to form metal wirings; anticorroding the planarized major face of the wafer to form a hydrophobic protective film over the surfaces of the metal wirings; immersing the anticorroded major face of the wafer or keeping the same in a wet state so that it may not become dry; and post-cleaning the major face, kept in the wet state, of the wafer.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: March 31, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Naofumi Ohashi, Junji Noguchi, Toshinori Imai, Hizuru Yamaguchi, Nobuo Owada, Kenji Hinode, Yoshio Homma, Seiichi Kondo
  • Patent number: 7501348
    Abstract: A method for forming a semiconductor structure having a deep sub-micron or nano scale line-width is disclosed. Structure consisting of multiple photoresist layers is first formed on the substrate, then patterned using adequate exposure energy and development condition so that the bottom photoresist layer is not developed while the first under-cut resist groove is formed on top of the bottom photoresist layer. Anisotropic etching is then performed at a proper angle to the normal of the substrate surface, and a second resist groove is formed by the anisotropic etching. Finally, the metal evaporation process and the lift-off process are carried out and the ?-shaped metal gate with nano scale line-width can be formed.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: March 10, 2009
    Assignee: National Chiao Tung University
    Inventors: Szu-Hung Chen, Yi-Chung Lien, Yi Edward Chang
  • Patent number: 7501304
    Abstract: The present invention provides a method of cleaning a cover glass having a spacer which is to be incorporated in a solid image pickup device, comprising: a dry cleaning step performed after dry etching; a wipe-off cleaning step performed after the dry cleaning step; a primary wet cleaning step performed after the wipe-off cleaning step; and a secondary wet cleaning step performed after the primary wet cleaning step, wherein the cover glass having a spacer is fabricated by a manufacturing process including the steps of: bonding a spacer substrate to a glass substrate with an adhesive; applying a photoresist to the spacer substrate; exposing and developing the photoresist by use of a photomask and forming an etching mask corresponding to the spacer on the spacer substrate; and forming a spacer on the glass substrate by the dry etching the spacer substrate.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: March 10, 2009
    Assignee: FUJIFILM Corporation
    Inventors: Meiki Ooseki, Kiyofumi Yamamoto, Masamichi Hara
  • Patent number: 7498265
    Abstract: Memory cell structures, including PSOIs, NANDs, NORs, FinFETs, etc., and methods of fabrication have been described that include a method of epitaxial silicon growth. The method includes providing a silicon layer on a substrate. A dielectric layer is provided on the silicon layer. A trench is formed in the dielectric layer to expose the silicon layer, the trench having trench walls in the <100> direction. The method includes epitaxially growing silicon between trench walls formed in the dielectric layer.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: March 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Du Li
  • Patent number: 7498267
    Abstract: A capacitor is formed by forming a mold insulating layer with a plurality of storage node holes over a semiconductor substrate. A metal storage node is formed on the surface of each of the storage node holes in the mold insulating layer. The mold insulating layer is removed by performing the following steps: loading the semiconductor substrate with the storage node in the chamber for in-situ cleaning, rinsing, and drying processes; removing the mold insulating layer by an etchant in the chamber; then rinsing the semiconductor substrate by introducing deionized water into the chamber while discharging the etchant out of the chamber; finally rinsing the rinsed semiconductor substrate with a mixed solution of the deionized water and organic solvent; drying the finally rinsed semiconductor substrate by IPA vapor in the chamber while discharging the mixed solution of the deionized water and organic solvent out of the chamber.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: March 3, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Gyu Hyun Kim, Yong Soo Choi
  • Patent number: 7498252
    Abstract: Embodiments of the invention include apparatuses and methods relating to dual layer dielectric stacks for thick metal lines of microelectronic devices. In one embodiment, the dual layer dielectric stack includes a first dielectric layer that is planar and mechanically strong and the second dielectric layer can be patterned by photolithography to the required critical dimensions.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: March 3, 2009
    Assignee: Intel Corporation
    Inventors: Kevin J. Lee, Subhash Joshi
  • Patent number: 7494827
    Abstract: The plasma etching method first forms a coating film on the inner surface of the chamber. Next, an etching process is performed on a wafer under a condition in which the coating film is formed, and thereafter a reaction product adhered onto the coating film in the etching process is removed together with the coating film. Each of these processes is implemented at a frequency in which the condition of the chamber inner surface is nearly always the same at the time of initiating the etching process.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: February 24, 2009
    Assignee: Panasonic Corporation
    Inventors: Mitsuhiro Ohkuni, Keiichi Matsunaga
  • Patent number: 7491645
    Abstract: A method for manufacturing a semiconductor device includes: forming a protrusion-patterned layer on a substrate, the protrusion-patterned layer including a plurality of separated protrusions, each of which includes a base portion formed on the substrate and a top end portion opposite to the base portion; laterally growing a base layer on the top end portions of the protrusions of the protrusion-patterned layer in such a manner that each of the top end portions is covered by the base layer and that the base layer cooperates with the protrusions to define a plurality of cavities thereamong; thickening the base layer to a predetermined layer thickness; and separating the base layer from the substrate by destroying the protrusions of the protrusion-patterned layer.
    Type: Grant
    Filed: October 24, 2006
    Date of Patent: February 17, 2009
    Assignee: Genesis Photonics Inc.
    Inventor: Cheng-Chuan Chen
  • Patent number: 7488686
    Abstract: A method of fabricating three-dimensional structures from a plurality of adhered layers of at least a first and a second material wherein the first material is a conductive material and wherein each of a plurality of layers includes treating a surface of a first material prior to deposition of the second material. The treatment of the surface of the first material either (1) decreases the susceptibility of deposition of the second material onto the surface of the first material or (2) eases or quickens the removal of any second material deposited on the treated surface of the first material. In some embodiments the treatment of the first surface includes forming a dielectric coating over the surface and the second material is electrodeposited (e.g. using an electroplating or electrophoretic process). In other embodiments the first material is coated with a conductive material that doesn't readily accept deposits of electroplated or electroless deposited materials.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: February 10, 2009
    Assignee: Microfabrica Inc.
    Inventors: Adam L. Cohen, Dennis R. Smalley, Michael S. Lockard, Qui T. Le
  • Patent number: 7482225
    Abstract: A method of fabricating a floating gate of a flash memory device is provided. The method includes: forming a tunneling oxide layer on a substrate; forming a conductive thin layer on the tunneling oxide layer; applying a photoresist on the conductive thin layer; defining a floating gate region by patterning the photoresist; forming polymer sidewalls on the sides of the patterned photoresist; and selectively removing the conductive thin layer using the photoresist and the polymer sidewalls as a mask to form a floating gate.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: January 27, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Kang Hyun Lee, Jeong Yel Jang
  • Patent number: 7476568
    Abstract: An embodiment of the present invention is a technique to fabricate a package. A heat spreader (HS) array on a HS support substrate is formed. The HS array has a plurality of heat spreaders. A diced wafer supported by a wafer support substrate (WSS) is formed. The diced wafer has a plurality of thin dice. The thin dice in the diced wafer are bonded to the heat spreaders in the HS array to form HS-bonded thin dice between the HS support substrate and the WSS.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: January 13, 2009
    Assignee: Intel Corporation
    Inventors: Daoqiang Lu, Rajashree Baskaran, Chuan Hu
  • Patent number: 7476602
    Abstract: A method of forming a semiconductor device including forming a low-k dielectric material over a substrate, depositing a liner on a portion of the low-k dielectric material, and exposing the liner to a plasma. The method also includes depositing a layer over the liner.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: January 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer Kumar Ajmera, Patricia Beauregard Smith, Changming Jin
  • Patent number: 7473646
    Abstract: Provision of a process capable of preferably etching particularly PtMn used for a pin layer of an MRAM is an object: a dry etching method for performing dry etching on a layer including platinum and/or manganese by using pulse plasma and a production method of an MRAM, wherein the dry etching method is applied to processing of the pin layer. The MRAM is configured to have a memory portion comprising a magnetic memory element composed of tunnel magnetoresistive effect element formed by stacking a magnetic fixed layer having a fixed magnetization direction, a tunnel barrier layer and a magnetic layer capable of changing the magnetization direction.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: January 6, 2009
    Assignees: Sony Corporation
    Inventors: Toshiaki Shiraiwa, Tetsuya Tatsumi, Seiji Samukawa
  • Patent number: 7473649
    Abstract: A method of forming a slot in a substrate comprises growing an oxide layer on a first side of a substrate, patterning and etching the oxide layer to form an opening, forming a material overlying the opening and the oxide layer, removing substrate material through a second side to a first distance from the first side, and anisotropic etching the substrate to create a substrate opening at the first side which is aligned with the opening in the oxide layer during anisotropic etching. The material overlying the opening and the oxide layer is selected so that an anisotropic etch rate of the substrate at an interface of the material and the substrate is greater than an anisotropic etch rate of the substrate at an interface of the oxide layer and the substrate.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: January 6, 2009
    Inventors: Steven D Leith, Jeffrey S Obert, Eric L. Nikkel, Kenneth M Kramer
  • Patent number: 7459370
    Abstract: In one aspect, a method of fabricating a semiconductor memory device is provided which includes forming a mold insulating film over first and second portions of a semiconductor substrate, where the mold insulating film includes a plurality of storage node electrode holes spaced apart over the first portion of the semiconductor substrate. The method further includes forming a plurality of storage node electrodes on inner surfaces of the storage node electrode holes, respectively, and forming a capping film which covers the storage node electrodes and a first portion of the mold insulating film located over the first portion of the semiconductor substrate, and which exposes a second portion of the mold insulating film located over the second portion of the semiconductor substrate.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: December 2, 2008
    Assignee: Samsung Electronics Co., ltd.
    Inventors: Dae-hyuk Kang, Jung-min Oh, Chang-ki Hong, Sang-jun Choi, Woo-gwan Shim
  • Patent number: 7456461
    Abstract: The present invention relates to a stacked capacitor array and a fabrication method for a stacked capacitor array having a multiplicity of stacked capacitors, an insulator keeping at least two adjacent stacked capacitors mutually spaced apart, so that no electrical contact can arise between them and the stacked capacitors are mechanically stabilized.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: November 25, 2008
    Assignee: Infineon Technologies AG
    Inventors: Martin Gutsche, Harald Seidl, Peter Moll
  • Patent number: 7449734
    Abstract: A junction semiconductor device having a drain region including a low-resistance layer of a first conductive type formed on one surface of a semiconductor crystal, a source region including a low-resistance layer of a first conductive type formed on the other surface of the semiconductor crystal, a gate region of a second conductive type formed on the periphery of the source region, a high-resistance layer of a first conductive type between the source region and the drain region, and a recombination-inhibiting semiconductor layer of a second conductive type provided in the vicinity of the surface of the semiconductor crystal between the gate region and the source region.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: November 11, 2008
    Assignee: Honda Motor Co., Ltd.
    Inventors: Ken-ichi Nonaka, Hideki Hashimoto, Seiichi Yokoyama, Kensuke Iwanaga, Yoshimitsu Saito
  • Patent number: 7439185
    Abstract: A method of fabricating a semiconductor device having an air-gapped multilayer interconnect wiring structure is disclosed. After having formed a first thin film on or above a substrate, define a first opening in the first thin film. Then, deposit a conductive material in the first opening. Then form a second thin film made of a porous material above the first thin film with the conductive material being deposited in the first opening. Next, define in the second thin film a second opening extending therethrough, followed by deposition of a conductive material in the second opening. The first thin film is removed through voids in the second thin film after having deposited the conductive material in the second opening. An integrated semiconductor device as manufactured thereby is also disclosed.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: October 21, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akihiro Kojima
  • Patent number: 7439118
    Abstract: A method of manufacturing a semiconductor integrated circuit including a logic part and a memory array part, the logic part having N-type and P-type FETs, and the memory array part having N-type and P-type FETs, includes the steps of forming N-type and P-type FETs constituting the logic part and the memory array part, thereafter sequentially forming a first insulation film having a tensile stress and a second insulation film on the whole surface, selectively removing the second insulation film and the first insulation film present on the upper side of the region of the P-type FET constituting the logic part, then forming a third insulation film having a compressive stress on the whole surface, and thereafter selectively removing the third insulation film present on the upper side of the region of the N-type FET constituting the logic part and the third insulation film present on the upper side of the regions of the N-type and P-type FETs constituting the memory array part.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: October 21, 2008
    Assignee: Sony Corporation
    Inventor: Michihiro Kanno
  • Patent number: 7435675
    Abstract: A method of forming a pre-patterned high-k dielectric film onto a support layer. The method includes: providing a support layer; providing a template defining template openings therein exhibiting a pattern that is a mirror image of a pattern of the pre-patterned high-k dielectric film; disposing the template onto the support layer; providing a high-k precursor material inside the template openings; curing the high-k precursor material inside the template openings to yield a cured film; and removing the template from the support layer after curing to leave the cured film on the conductive film.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Huankiat Seh, Yongki Min
  • Patent number: 7432208
    Abstract: A method of manufacturing a suspension structure including providing a substrate, forming a first photoresist pattern on the substrate, heating the first photoresist pattern to harden it as a sacrificial layer, forming a second photoresist pattern on the substrate and the sacrificial layer, the second photoresist pattern exposing a part of the substrate and the sacrificial layer, forming a structure layer on the substrate, the second photoresist pattern, and the sacrificial layer, performing a lift off process to remove the second photoresist pattern and the structure layer above the second photoresist pattern, and performing a dry etching process to remove the sacrificial layer in order to make the structure layer become the suspension structure.
    Type: Grant
    Filed: August 1, 2006
    Date of Patent: October 7, 2008
    Assignee: Touch Micro-System Technology Inc.
    Inventor: Yu-Fu Kang
  • Patent number: 7432137
    Abstract: A method of manufacturing a thin film transistor includes forming a gate electrode on a substrate; forming a gate insulating film on the gate electrode; forming a semiconductor layer on the gate insulating film; forming a bank including a first bank portion and a second bank portion, the first bank portion being located at substantially a central portion of the semiconductor layer, the second bank portion having a thin film portion for surrounding the semiconductor layer and a thick film portion for surrounding the thin film portion at a periphery of the semiconductor layer; arranging first functional liquid containing a conductive material in a region surrounded by the thin film portion and the first bank portion such that the first functional liquid covers the semiconductor layer; drying the first functional liquid to obtain a first conductive film; removing the thin film portion selectively after drying the first functional liquid; arranging second functional liquid including a conductive material on a reg
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: October 7, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Atsushi Denda
  • Patent number: 7432200
    Abstract: Methods of fabricating an interconnect utilizing an electroless deposition technique, which fundamentally consists of providing a dielectric material layer having an opening extending into the dielectric material from a first surface thereof, and electrolessly depositing a conductive material within the opening. Various processing steps and structures may be utilized in the fabrication of the interconnect, which may include but is not limited to forming barrier layers, utilizing seed materials, utilizing activation materials, and treating the dielectric material to be receptive to electroless deposition.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: October 7, 2008
    Assignee: Intel Corporation
    Inventors: Shaestagir Chowdhury, Chi-Hwa Tsang
  • Patent number: 7422969
    Abstract: The present invention provides a method for patterning a metal gate electrode and a method for manufacturing an integrated circuit including the same. The method for patterning the metal gate electrode, among other steps, includes forming a metal gate electrode layer (220) over a gate dielectric layer (210) located on a substrate (110), and patterning the gate electrode layer (220) using a combination of a dry etch process (410) and a wet etch process (510).
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: September 9, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Antonio L. P. Rotondaro, Deborah J. Riley, Trace Q. Hurd
  • Patent number: 7419906
    Abstract: A method of manufacturing a through conductor that penetrates from an upper surface of a silicon substrate to its lower surface. The through conductor is manufactured in steps which provide a first conductor which extends in the direction of thickness of the silicon substrate from the upper surface of the silicon substrate, and a second conductor which has a size in the direction orthogonal to the thickness direction is smaller than that of the first conductor and which penetrates the silicon substrate from a bottom face of the first conductor to the lower surface of the silicon substrate.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: September 2, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Osamu Kato
  • Patent number: 7416987
    Abstract: According to the present invention, there is a provided a semiconductor device fabrication method having, forming a mask material in a surface portion of a semiconductor substrate, and forming a step having a projection by using the mask material; forming a dielectric film on the semiconductor substrate so as to fill the step and planarize an entire surface; annealing the dielectric film; etching back the dielectric film such that a surface of the dielectric film is positioned between upper and lower surfaces of the mask material; and removing the mask material to expose a surface of the projection of the semiconductor substrate.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: August 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuhiko Hieda, Masahiro Kiyotoshi
  • Patent number: 7397074
    Abstract: An exemplary array of thermally-assisted magnetic memory structures includes a plurality of magnetic memory elements, each magnetic memory element being near a diode. A diode near a selected magnetic memory element can be heated by absorbing energy from a radio frequency electromagnetic field. The heated diode can be used to elevate the temperature of the selected magnetic memory element to thermally assist in switching the magnetic state of the magnetic memory element upon application of a write current.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: July 8, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Janice H. Nickel
  • Publication number: 20080153294
    Abstract: A method for processing integrated circuit devices including forming self aligned contact regions. The method includes providing a partially completed semiconductor wafer, the wafer including one or more semiconductor chips, where each of the chips including a plurality of MOS gate structures. Each of the gate structures is formed on a substrate and having a first layer of silicon nitride formed overlying portions including a contact region between the gate structures. Each of the chips has conformal layer of doped silicon glass of a predetermined thickness overlying the silicon nitride layer and the gate structures. The method then applies a plasma etching process to the doped silicon glass to expose a portion of the first silicon nitride layer using an anisotropic etching component to vertically remove portions of the doped silicon glass. A step of cleaning the exposed portion of silicon nitride using an isotropic component is also included.
    Type: Application
    Filed: April 5, 2007
    Publication date: June 26, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Jin Kang, Mingching Wang
  • Patent number: 7384869
    Abstract: A method for protecting exposed silicon from attack by phosphoric acid during wet etching and stripping processes is provided. According to various embodiments of the method, a thick chemical oxide layer can be formed on the exposed silicon to protect the exposed portion from etching by phosphoric acid. The method can include exposing the silicon to at least one of a hot ozonated sulfuric acid and a hot peroxide sulfuric acid to form the thick chemical oxide.
    Type: Grant
    Filed: April 7, 2005
    Date of Patent: June 10, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Deborah J. Riley, Brian M. Trentman, Brian K. Kirkpatrick
  • Patent number: 7384833
    Abstract: In one embodiment, a self-aligned contact (SAC) trench structure is formed through a dielectric layer to expose an active region of a MOS transistor. The SAC trench structure not only exposes the active region for electrical connection but also removes portions of a stress liner over the active region. This leaves the stress liner mostly on the sidewall and top of the gate of the MOS transistor. Removing portions of the stress liner over the active region substantially removes the lateral component of the strain imparted by the stress liner on the substrate, allowing for improved drive current without substantially degrading a complementary MOS transistor.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: June 10, 2008
    Assignee: Cypress Semiconductor Corporation
    Inventors: Igor Polishchuk, Krishnaswamy Ramkumar, Sagy Charel Levy
  • Patent number: 7378692
    Abstract: An integrated electronic circuit with at least at least one passive electronic component and at least one active electronic component. The passive electronic component is formed within an insulating material disposed on a substrate. The active component is formed within a volume of substantially single-crystal semiconductor material disposed on top of the passive component.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: May 27, 2008
    Assignee: STMicroelectronics SA
    Inventors: Philippe Delpech, Christophe Regnier, Sebastien Cremer, Stephane Monfray
  • Patent number: 7365009
    Abstract: A process and structure for a metal interconnect includes providing a substrate with a first electric conductor, forming a first dielectric layer and a first patterned hard mask, using the first patterned hard mask to form a first opening and a second electric conductor, forming a second dielectric layer and a second patterned hard mask, using the second patterned hard mask as an etching mask and using a first patterned hard mask as an etch stop layer to form a second opening and a third electric conductor.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: April 29, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Chun-Jen Huang
  • Patent number: 7361539
    Abstract: A semiconductor device structure is provided which includes a first field effect transistor (“FET”) having a first channel region, a first source region, a first drain region and a first gate conductor overlying the first channel region. A second FET is included which has a second channel region, a second source region, a second drain region and a second gate conductor overlying the second channel region. The first and second gate conductors are portions of a single elongated conductive member extending over both the first and second channel regions. A first stressed film overlies the first FET, the first stressed film applying a stress having a first value to the first channel region. A second stressed film overlies the second FET, the second stressed film applying a stress having a second value to the second channel region. The second value is substantially different from the first value.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: April 22, 2008
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Haining S. Yang