Producing Ions For Implantation (epo) Patents (Class 257/E21.334)
  • Publication number: 20120015504
    Abstract: A semiconductor device includes: a first semiconductor region formed on a substrate and having an upper surface and a side surface; a first impurity region of a first conductivity type formed in an upper portion of the first semiconductor region; a second impurity region of a first conductivity type formed in a side portion of the first semiconductor region; and a gate insulating film formed so as to cover at least a side surface and an upper corner of a predetermined portion of the first semiconductor region. A radius of curvature r? of an upper corner of a portion of the first semiconductor region located outside the gate insulating film is greater than a radius of curvature r of an upper corner of a portion of the first semiconductor region located under the gate insulating film and is less than or equal to 2r.
    Type: Application
    Filed: September 26, 2011
    Publication date: January 19, 2012
    Applicant: Panasonic Corporation
    Inventors: Yuichiro SASAKI, Katsumi Okashita, Keiichi Nakamoto, Hisataka Kanada, Bunji Mizuno
  • Publication number: 20120007146
    Abstract: A semiconductor structure and a method for forming the same are provided. The semiconductor structure may comprise a substrate (110); an insulation layer (120) formed on the substrate (110); a strained layer (130) formed on the insulation layer (120); a strained layer (140) with high Ge content formed on the strained layer (130); and a gate stack (160) formed on the strained layer (140) with high Ge content.
    Type: Application
    Filed: November 29, 2010
    Publication date: January 12, 2012
    Applicant: Tsinghua University
    Inventors: Jing Wang, Jun Xu, Lei Guo
  • Publication number: 20120009769
    Abstract: The invention is directed to ion implantation. Ion implantation is a process whereby energetic ions are used to uniformly irradiate the surface of a material—typically a semiconductor wafer. Either atomic or molecular ions are created in an ion source and then extracted for analysis (e.g. by magnetic separation) to ensure the purity of the ion beam. Post-analysis acceleration and scanning of the beam is done prior to sample irradiation. Each dopant-type acts, in general, to increase the conductivity of the silicon.
    Type: Application
    Filed: July 6, 2011
    Publication date: January 12, 2012
    Applicant: Amethyst Research, Inc.
    Inventors: Orin W. Holland, Khalid Hossain
  • Patent number: 8088652
    Abstract: In an electron device in which plural thin film transistors each having at least a source electrode, a drain electrode, a semiconductor region including a channel, a gate insulation film and a gate electrode are provided on a substrate, a device separation region provided between the plural thin film transistors and the semiconductor region are constituted by a same metal oxide layer, and resistance of the semiconductor region is formed to be lower than resistance of the device separation region.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: January 3, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryo Hayashi, Masafumi Sano
  • Publication number: 20110318910
    Abstract: A method of manufacturing a semiconductor device that sufficiently activates a deep ion injection layer and fully recovers lattice defects generated in the ion injection process. Laser light pulses are successively emitted to form substantially CW (continuous wave) laser light. This feature of the invention stably performs activation of a deep ion injection layer at about 2 ?s with few defects.
    Type: Application
    Filed: June 24, 2011
    Publication date: December 29, 2011
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventors: Haruo NAKAZAWA, Motoyoshi Kubouchi
  • Publication number: 20110318893
    Abstract: The benefits of strained semiconductors are combined with silicon-on-insulator approaches to substrate and device fabrication.
    Type: Application
    Filed: September 7, 2011
    Publication date: December 29, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Anthony J. Lochtefeld, Thomas A. Langdo, Richard Hammond, Matthew T. Currie, Eugene A. Fitzgerald
  • Publication number: 20110316094
    Abstract: a method comprises forming a hardmask over one or more gate structures. The method further comprises forming a photoresist over the hardmask. The method further comprises forming an opening in the photoresist over at least one of the gate structures. The method further comprises stripping the hardmask that is exposed in the opening and which is over the at least one of the gate structures. The method further comprises removing the photoresist. The method further comprises providing a halo implant on a side of the least one of the at least one of the gate structures.
    Type: Application
    Filed: June 24, 2010
    Publication date: December 29, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Darshana N. BHAGAT, Thomas J. DUNBAR, Yen Li LIM, Jed H. RANKIN, Eva A. SHAH
  • Publication number: 20110309443
    Abstract: The present invention discloses a method for controlling the impurity density distribution in semiconductor device and a semiconductor device made thereby. The control method includes the steps of: providing a substrate; defining a doped area which includes at least one first region; partially masking the first region by a mask pattern; and doping impurities in the doped area to form one integrated doped region in the first region, whereby the impurity concentration of the first region is lower than a case where the first region is not masked by the mask pattern.
    Type: Application
    Filed: June 17, 2010
    Publication date: December 22, 2011
    Inventors: Tsung-Yi Huang, Ying-Shiou Lin
  • Patent number: 8080452
    Abstract: The invention relates to a method for selective deposition of Si or SiGe on a Si or SiGe surface. The method exploits differences in physico-chemical surface behavior according to a difference in doping of first and second surface regions. By providing at least one first surface region with a Boron doping of a suitable concentration range and exposing the substrate surface to a cleaning and passivating ambient atmosphere in a prebake step at a temperature lower or equal than 800° C., a subsequent deposition step of Si or SiGe will not lead to a layer deposition in the first surface region. This effect is used for selective deposition of Si or SiGe in the second surface region, which is not doped with Boron in the suitable concentration range, or doped with another dopant, or not doped. The method thus saves a usual photolithography sequence required for selective deposition of Si or SiGe in the second surface region according to the prior art.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: December 20, 2011
    Assignees: NXP, B.V., STMicroelectronics (Crolles 2) SAS
    Inventors: Alexandre Mondot, Markus Gerhard Andreas Muller, Thomas Kormann
  • Publication number: 20110303925
    Abstract: A semiconductor device according to the invention includes p-type well region 3 and n+ source region 4, both formed selectively in the surface portion of n? drift region 2; trench 6 in contact with n+ source region 4 and extending through p-type well region 3 into n? drift region 2; field plate 8 formed in trench 6 with first insulator film 7 interposed between the trench 6 inner surface and field plate 8; gate electrode 10 formed in trench 6 with second insulator film 9 interposed between the trench 6 side wall and gate electrode 10, gate electrode 10 being formed above field plate 8; first insulator film 7 being thicker than second insulator film 9; and n?? lightly doped region 21 in n? drift region 2, n?? lightly doped region 21 crossing under the bottom surface of trench 6 from the corner portion thereof, n?? lightly doped region 21 covering the bottom surface of trench 6.
    Type: Application
    Filed: June 9, 2011
    Publication date: December 15, 2011
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Takeyoshi Nishimura
  • Publication number: 20110306180
    Abstract: Systems, methods and products by process are disclosed relating to structures and/or fabrication thereof as relating, for example, to optical/electronic applications such as solar cells and displays. In one exemplary implementation, there is provided a method of producing a composite structure. Moreover, the method may include engaging a silicon-containing material into contact with a surface of the substrate and irradiating/treating the silicon-containing piece with a laser.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 15, 2011
    Inventor: Venkatraman Prabhakar
  • Publication number: 20110306193
    Abstract: A process is disclosed which incorporates implantation of a carbon cluster into a substrate to improve the characteristics of transistor junctions when the substrates are doped with Boron and Phosphorous in the manufacturing of PMOS transistor structures in integrated circuits. There are two processes which result from this novel approach: (1) diffusion control for USJ formation; and (2) high dose carbon implantation for stress engineering. Diffusion control for USJ formation is demonstrated in conjunction with a boron or shallow boron cluster implant of the source/drain structures in PMOS. More particularly, first, a cluster carbon ion, such as C16Hx+, is implanted into the source/drain region at approximately the same dose as the subsequent boron implant; followed by a shallow boron, boron cluster, phosphorous or phosphorous cluster ion implant to form the source/drain extensions, preferably using a borohydride cluster, such as B18Hx+ or B10Hx+.
    Type: Application
    Filed: June 27, 2011
    Publication date: December 15, 2011
    Applicant: SemEquip, Inc.
    Inventors: Wade A. Krull, Thomas N. Horsky
  • Publication number: 20110298092
    Abstract: An integrated circuit structure includes a semiconductor doped area (NWell) having a first conductivity type, and a layer (PSD) that overlies a portion of said doped area (NWell) and has a doping of an opposite second type of conductivity that is opposite from the first conductivity type of said doped area (NWell), and said layer (PSD) having a corner in cross-section, and the doping of said doped area (NWell) forming a junction beneath said layer (PSD) with the doping of said doped area (NWell) diluted in a vicinity below the corner of said layer (PSD). Other integrated circuits, substructures, devices, processes of manufacturing, and processes of testing are also disclosed.
    Type: Application
    Filed: April 27, 2011
    Publication date: December 8, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Ming-Yeh Chuang
  • Patent number: 8062964
    Abstract: The present disclosure passivates solar cell defects. Plasma immersion ion implantation (PIII) is used to repair the defects during or after making the solar cell. Hydrogen ion is implanted into absorption layer with different sums of energy to fill gaps of defects or surface recombination centers. Thus, solar cell defects are diminished and carriers are transferred with improved photovoltaic conversion efficiency.
    Type: Grant
    Filed: August 9, 2010
    Date of Patent: November 22, 2011
    Assignee: Atomic Energy Council
    Inventors: Wen-Fa Tsai, Jyong-Fong Liao, Yen-Yu Chen, Chee Wee Liu, Chi-Fong Ai
  • Publication number: 20110269302
    Abstract: The invention relates to a method of fabricating a semiconductor device. The method includes: providing a semiconductor substrate and locally heating the semiconductor substrate by using a heated tip structure. Locally heating the semiconductor substrate is carried out to locally modify the electrical properties of the semiconductor substrate. The semiconductor substrate can be implanted with dopants, so that locally heating step causes a local activation of the implanted dopants. Furthermore, the semiconductor substrate can be provided with a dopant layer, so that locally heating step causes dopants to diffuse into the semiconductor substrate.
    Type: Application
    Filed: April 8, 2011
    Publication date: November 3, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Harish Bhaskaran, Mikael T. Bjoerk, Michel Despont, Bernd W. Gotsmann, Heinz Schmid
  • Publication number: 20110266636
    Abstract: A method for forming an offset spacer of a MOS device is disclosed. The method includes the steps of: providing a substrate having a gate structure thereon; forming a dielectric stack on the substrate and the gate structure, wherein the dielectric stack comprises a first dielectric layer, a second dielectric layer, a third dielectric layer, and a fourth dielectric layer; and performing an etching process on the dielectric stack to form an offset spacer around the gate structure.
    Type: Application
    Filed: May 3, 2010
    Publication date: November 3, 2011
    Inventor: Chun Rong
  • Publication number: 20110256697
    Abstract: A recessed-gate transistor device includes a gate electrode embedded in a gate trench formed in a semiconductor substrate, wherein the gate trench includes a vertical sidewall and a U-shaped bottom. A source region is provided at one side of the gate trench within the semiconductor substrate. A drain region is provided at the other side thereof. An asymmetric gate dielectric layer is formed between the gate electrode and the semiconductor substrate. The asymmetric gate dielectric layer has a first thickness between the gate electrode and the drain region and a second thickness between the gate electrode and the source region, wherein the first thickness is thicker than the second thickness.
    Type: Application
    Filed: June 28, 2011
    Publication date: October 20, 2011
    Inventors: Jer-Chyi Wang, Tieh-Chiang Wu, Chung-Yuan Lee, Jeng-Ping Lin
  • Publication number: 20110256684
    Abstract: The present invention provides a field effect transistor including an oxide film as a semiconductor layer, wherein the oxide film includes one of a source part and a drain part to which one of hydrogen and deuterium is added.
    Type: Application
    Filed: June 27, 2011
    Publication date: October 20, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Tatsuya Iwasaki, Hideya Kumomi
  • Publication number: 20110254140
    Abstract: New photoresists are provided that comprise preferably as distinct components: a resin, a photoactive component and a phenolic component Preferred photoresists of the invention are can be useful for ion implant lithography protocols.
    Type: Application
    Filed: December 15, 2010
    Publication date: October 20, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventor: Gerhard POHLERS
  • Publication number: 20110248323
    Abstract: In the plasma-based ion implantation for accelerating positive ions of a plasma and implanting the positive ions into a substrate to be processed on a holding stage in a processing chamber where the plasma has been excited, ion implantation is achieved in the following manner: an RF power having a frequency of 4 MHz or greater is applied to the holding stage to cause a self-bias voltage to generate on the surface of the substrate. The RF power is applied a plurality of times in the form of pulses.
    Type: Application
    Filed: December 10, 2009
    Publication date: October 13, 2011
    Applicant: NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Tadahiro Ohmi, Tetsuya Goto
  • Publication number: 20110250740
    Abstract: Method for the treatment of a semiconductor substrate (2), in which an ion beam (4) is produced from a doping gas and is directed onto the semiconductor substrate (2), characterized in that the doping gas is fed through a plastic hose (6) to a unit (3) for producing an ion beam (4), and is then ionized. The method and the device advantageously permit the supply of the unit 3 for producing an ion beam 4 with a doping gas from customary gas reservoirs 14 such as customary compressed gas cylinders, for example. Voltage flashovers from the deflection elements 5 are effectively prevented by the use of a plastic hose 6. The method and the device thus permit the simple construction of a corresponding ion implantation apparatus in conjunction with possible inexpensive supply thereof with doping gas.
    Type: Application
    Filed: June 27, 2008
    Publication date: October 13, 2011
    Applicants: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGE, INFINEON TECHNOLOGIES AG
    Inventors: Andreas Tikovsky, Matthias Laumbacher, Gerhard Reichl
  • Publication number: 20110250741
    Abstract: There is provided a method of producing a semiconductor device. The method includes the steps of: forming a first hard mask having an opening above a substrate; forming a sacrificial film above a side surface of the opening of the first hard mask; forming a second hard mask in the opening having the sacrificial film above the side surface; removing the sacrificial film after the second hard mask is formed; ion implanting a first conductivity-type impurity through the first hard mask; and ion implanting a second conductivity-type impurity through the first and second hard masks.
    Type: Application
    Filed: June 16, 2011
    Publication date: October 13, 2011
    Applicant: Sony Corporation
    Inventor: Yasufumi Miyoshi
  • Publication number: 20110241109
    Abstract: In a self protected NLDMOS array, a deep implant is included on the drain side of each NLDMOS device to balance ESD current.
    Type: Application
    Filed: April 1, 2010
    Publication date: October 6, 2011
    Inventor: Vladislav Vashchenko
  • Publication number: 20110244669
    Abstract: Techniques for low temperature ion implantation are provided to improve the throughput. During a low temperature ion implantation, an implant process may be started before the substrate temperature is decreased to be about to a prescribed implant temperature by a cooling process, and a heating process may be started to increase the substrate temperature before the implant process is finished. Moreover, one or more temperature adjust process may be performed during one or more portion of the implant process, such that the substrate temperature may be controllably higher than the prescribe implant temperature during the implant process.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 6, 2011
    Applicant: ADVANCED ION BEAM TECHNOLOGY, INC.
    Inventors: JOHN D. POLLOCK, ZHIMIN WAN, ERIK COLLART
  • Patent number: 8030693
    Abstract: There is provide a divided exposure technology capable of restraining deterioration in the performance of a solid-state image sensor. A photoresist is formed over a semiconductor substrate and subjected to divided exposure. A dividing line for divided exposure is located at least over a region of a semiconductor substrate in which an active region in which a pixel is to be formed is defined. The photoresist is then developed and patterned. By utilizing the patterned photoresist, an element isolation structure for defining the active region in the semiconductor substrate is formed in the semiconductor substrate.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: October 4, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Masatoshi Kimura, Hiroki Honda
  • Publication number: 20110233731
    Abstract: A semiconductor device, in which a plurality of crystal defects for controlling the life time of carries are distributed in a silicon substrate, is characterized in that the total number of the crystal defects that cause a trap level that differs from the energy level of the center of the band gap by less than 0.2 eV, is less than the number of the crystal defects that cause the trap level that is the closest to the energy level of the center of the band gap among trap levels that differ from the energy level of the center of the band gap by 0.2 eV or more.
    Type: Application
    Filed: November 9, 2009
    Publication date: September 29, 2011
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Shinya Yamazaki
  • Publication number: 20110237057
    Abstract: Various embodiments of the present disclosure provide a method of simultaneously co-doping a wide band gap material with p-type and n-type impurities to create a p-n junction within the resulting wide band gap composite material. The method includes disposing a sample comprising a dopant including both p-type and n-type impurities between a pair of wide band gap material films and disposing the sample between a pair of opposing electrodes; and subjecting the sample to a preselected vacuum; and heating the sample to a preselected temperature; and applying a preselected voltage across the sample; and subjecting the sample to at least one laser beam having a preselected intensity and a preselected wavelength, such that the p-type and n-type impurities of the dopant substantially simultaneously diffuse into the wide band gap material films resulting in a wide band gap compound material comprising a p-n junction.
    Type: Application
    Filed: June 6, 2011
    Publication date: September 29, 2011
    Applicant: THE CURATORS OF THE UNIVERSITY OF MISSOURI
    Inventors: Mark A. Prelas, Tushar K. Ghos, Robert V. Tompson, JR., Dabir S. Viswanath, Sudarshan Loyalka
  • Publication number: 20110237042
    Abstract: Some embodiments include methods in which microwave radiation is used to activate dopant and/or increase crystallinity of semiconductor material during formation of a semiconductor construction. In some embodiments, the microwave radiation has a frequency of about 5.8 gigahertz, and a temperature of the semiconductor construction does not exceed about 500° C. during the exposure to the microwave radiation.
    Type: Application
    Filed: June 7, 2011
    Publication date: September 29, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: John Smythe, Bhaskar Srinivasan, Ming Zhang
  • Publication number: 20110237056
    Abstract: An object of this invention is to provide a method for making a junction which is simple in the process, high in the throughput, and can make a shallow junction with high accuracy. After the suitable state of a substrate surface adapted to the wavelength of an electromagnetic wave to be applied has been formed, the electromagnetic wave is applied to electrically activate impurities so that the excited energy is effectively absorbed within the impurity thin film, thereby effectively making a shallow junction.
    Type: Application
    Filed: June 7, 2011
    Publication date: September 29, 2011
    Applicant: Panasonic Corporation
    Inventors: Yuichiro SASAKI, Cheng-Guo JIN, Bunji MIZUNO
  • Publication number: 20110229987
    Abstract: Techniques for low temperature ion implantation are provided to improve throughput. Specifically, the pressure of the backside gas may temporarily, continually or continuously increase before the starting of the implant process, such that the wafer may be quickly cooled down from room temperature to be essentially equal to the prescribed implant temperature. Further, after the vacuum venting process, the wafer may wait an extra time in the load lock chamber before the wafer is moved out the ion implanter, in order to allow the wafer temperature to reach a higher temperature quickly for minimizing water condensation on the wafer surface. Furthermore, to accurately monitor the wafer temperature during a period of changing wafer temperature, a non-contact type temperature measuring device may be used to monitor wafer temperature in a real time manner with minimized condensation.
    Type: Application
    Filed: March 19, 2010
    Publication date: September 22, 2011
    Applicant: ADVANCED ION BEAM TECHNOLOGY INC.
    Inventors: JOHN D. POLLOCK, ZHIMIN WAN, ERIK COLLART
  • Publication number: 20110228803
    Abstract: In one embodiment, a VCSEL includes a plurality of semiconductor layers, an insulative region, a resistive region, and a remainder region. The semiconductor layers include a lower mirror, an active region, and an upper mirror. The active region is disposed over the lower mirror and includes a first lasing region. The upper mirror is disposed over the active region. The insulative region and the resistive region are integrally formed in the semiconductor layers. The remainder region includes the semiconductor layers except for the insulative region and the resistive region integrally formed in the semiconductor layers. The insulative region is disposed between the resistive region and the remainder region.
    Type: Application
    Filed: March 19, 2010
    Publication date: September 22, 2011
    Applicant: FINISAR CORPORATION
    Inventors: James K. Guenter, Gyoungwon Park
  • Publication number: 20110223750
    Abstract: According to an embodiment, a method for manufacturing a semiconductor device is disclosed. The method includes: arranging a semiconductor substrate on a first electrode out of first and second electrodes arranged to be opposed to each other in a vacuum container; applying negative first pulse voltage and radio-frequency voltage to the first electrode, the negative first pulse voltage being superimposed with the radio-frequency voltage; applying negative second pulse voltage to the second electrode in an off period of the first pulse voltage; and processing the semiconductor substrate or a member on the semiconductor substrate by plasma formed between the first and second electrodes.
    Type: Application
    Filed: March 8, 2011
    Publication date: September 15, 2011
    Inventors: Hisataka HAYASHI, Takeshi Kaminatsui, Akio Ui
  • Publication number: 20110212609
    Abstract: Provided is a technology capable of improving a production yield of a semiconductor device having, for example, IGBG as a semiconductor element. After formation of an interconnect on the surface side of a semiconductor substrate, a supporting substrate covering the interconnect is bonded onto the interconnect. Then, a BG tape is overlapped and bonded onto the supporting substrate and the semiconductor substrate is ground from the backside. The BG tape is then peeled off and an impurity is introduced into the backside of the semiconductor substrate by ion implantation. Then, the supporting substrate is peeled off, followed by heat treatment of the semiconductor substrate.
    Type: Application
    Filed: May 6, 2011
    Publication date: September 1, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Hidekazu Okuda, Haruo Amada, Taizo Hashimoto
  • Publication number: 20110212607
    Abstract: A method for fabricating a semiconductor device includes forming junction area for a bit line contact (BLC) and a junction area for a storage node contact (SNC) by performing ion implantation in a substrate having a buried gate; forming a first insulation pattern having an opening to expose the junction areas; forming a buffer layer to fill the openings; forming a second insulation pattern over the first insulation pattern after filling the openings, wherein the second insulation pattern has openings to expose the buffer layer in an area of the buffer layer that lies over the junction area for the SNC; and forming an SNC to fill the opening of the second insulation patterns.
    Type: Application
    Filed: November 4, 2010
    Publication date: September 1, 2011
    Inventor: Baek-Mann KIM
  • Publication number: 20110212591
    Abstract: A method for fabricating a transistor of a semiconductor device includes: forming a gate pattern over a substrate; forming a junction region by performing an on implantation process onto the substrate at opposite sides of the gate pattern; performing a solid phase epitaxial (SPE) process on the junction region at a temperature approximately ranging from 770° C. to 850° C.; and performing a rapid thermal annealing (RTA) process on the junction region.
    Type: Application
    Filed: December 9, 2010
    Publication date: September 1, 2011
    Inventors: Jae-Geun OH, Young-Ho Lee, Jin-Ku Lee, Mi-Ri Lee
  • Publication number: 20110212608
    Abstract: Methods of implanting dopants into a silicon substrate using a predeposited sacrificial material layer with a defined thickness that is removed by sputtering effect is provided.
    Type: Application
    Filed: May 2, 2011
    Publication date: September 1, 2011
    Inventors: Shu Qin, Li Li
  • Publication number: 20110212602
    Abstract: A semiconductor device includes a trench MOS barrier Schottky diode having an integrated PN diode and a method is for manufacturing same.
    Type: Application
    Filed: May 10, 2011
    Publication date: September 1, 2011
    Inventors: Alfred GOERLACH, Ning Qu
  • Publication number: 20110212590
    Abstract: An integrated circuit device and method of fabricating the integrated circuit device is disclosed. According to one of the broader forms of the invention, a method involves providing a semiconductor substrate. A combination of a pre-amorphous implantation process, a high temperature carbon implantation process, and/or an annealing process are performed on the substrate to form a stressor region.
    Type: Application
    Filed: February 26, 2010
    Publication date: September 1, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chii-Ming Wu, Chun-Feng Nieh
  • Publication number: 20110207310
    Abstract: Embodiments discussed herein relate to processes of producing a field stop zone within a semiconductor substrate by implanting dopant atoms into the substrate to form a field stop zone between a channel region and a surface of the substrate, at least some of the dopant atoms having energy levels of at least 0.15 eV below the energy level of the conduction band edge of semiconductor substrate; and laser annealing the field stop zone.
    Type: Application
    Filed: May 9, 2011
    Publication date: August 25, 2011
    Applicant: Infineon Technologies Austria AG
    Inventors: Hans-Joachim Schulze, Frank Pfirsch, Stephan Voss, Franz-Josef Niedernostheide
  • Publication number: 20110207306
    Abstract: Methods and apparatus for producing a semiconductor structure include: subjecting an implantation surface of a semiconductor wafer to an ion implantation process to create an exfoliation layer therein, wherein the ion implantation process includes simultaneously implanting two different species of ions into the implantation surface of the semiconductor wafer.
    Type: Application
    Filed: February 22, 2010
    Publication date: August 25, 2011
    Inventors: Sarko Cherekdjian, Yuko Fujimoto, Richard Orr Maschmeyer, Takeshi Matsumoto
  • Publication number: 20110207308
    Abstract: A technique for low-temperature ion implantation is disclosed. In one particular exemplary embodiment, the technique may be realized as an apparatus for low-temperature ion implantation. The apparatus may comprise a pre-chill station located in proximity to an end station in an ion implanter; a cooling mechanism within the pre-chill station configured to cool a wafer from ambient temperature to a predetermined range less than ambient temperature; a loading assembly coupled to the pre-chill station and the end station; and a controller in communication with the loading assembly and the cooling mechanism to coordinate loading a wafer into the pre-chill station, cooling the wafer down to the predetermined temperature range before any ion implantation into the wafer, and loading the cooled wafer into the end station where the cooled wafer undergoes an ion implantation process.
    Type: Application
    Filed: May 2, 2011
    Publication date: August 25, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Jonathan G. ENGLAND, Steven R. Walther, Richard S. Muka, Julian Blake, Paul J. Murphy, Reuel B. Liebert
  • Publication number: 20110207307
    Abstract: Plasma immersion ion implantation employing a very high RF bias voltage on an electrostatic chuck to attain a requisite implant depth profile is carried out by first depositing a partially conductive silicon-containing seasoning layer over the interior chamber surfaces prior to wafer introduction.
    Type: Application
    Filed: May 5, 2011
    Publication date: August 25, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Shijian Li, Kartik Ramaswamy, Hiroji Hanawa, Seon-Mee Cho, Biagio Gallo, Dongwon Choi, Majeed A. Foad
  • Patent number: 8003551
    Abstract: The present invention provides means and methods for producing surface-activated semiconductor nanoparticles suitable for in vitro and in vivo applications that can fluoresce in response to light excitation. Semiconductor nanostructures can be produced by generating a porous layer in semiconductor substrate comprising a network of nanostructures. Prior or subsequent to cleavage from the substrate, the nanostructures can be activated by an activation means such as exposing their surfaces to a plasma, oxidation or ion implantation. In some embodiments, the surface activation renders the nanostructures more hydrophilic, thereby facilitating functionalization of the nanoparticles for either in vitro or in vivo use.
    Type: Grant
    Filed: April 27, 2009
    Date of Patent: August 23, 2011
    Assignee: Spire Corporation
    Inventors: Nader Montazernezam Kalkhoran, James G. Moe, Kurt J. Linden, Marisa Sambito
  • Publication number: 20110201188
    Abstract: An improved method of doping a substrate is disclosed. The method is particularly beneficial to the creation of interdigitated back contact (IBC) solar cells. A paste having a dopant of a first conductivity is applied to the surface of the substrate. This paste serves as a mask for a subsequent ion implantation step, allowing ions of a dopant having an opposite conductivity to be introduced to the portions of the substrate which are exposed. After the ions are implanted, the mask can be removed and the dopants may be activated. Methods of using an aluminum-based and phosphorus-based paste are disclosed.
    Type: Application
    Filed: February 16, 2011
    Publication date: August 18, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Atul Gupta, Nicholas Bateman
  • Publication number: 20110201186
    Abstract: Some embodiments discussed relate to an integrated circuit and methods for making it. In an example, a method can include providing a semiconductor wafer including a fin, and introducing a noise-reducing dopant into a sidewall of the fin.
    Type: Application
    Filed: April 29, 2011
    Publication date: August 18, 2011
    Applicant: Infineon Technologies AG
    Inventor: Domagoj Siprak
  • Publication number: 20110201187
    Abstract: A vertical IGBT includes a floating region of the first conductive type being formed within the body region of the second conductive type. A density of first conductive type impurities at a boundary of the floating region and the body region that is above the floating region is distributed to increase from an upper side to a lower side. A density of the first conductive type impurities at a boundary of the floating region and the body region that is under the floating region is distributed to decrease from an upper side to a lower side. A density of second conductive type impurities at a boundary of the floating region and the body region that is above the floating region is distributed to decrease from an upper side to a lower side. A density of the second conductive type impurities at a boundary of the floating region and the body region that is under the floating region is distributed to increase from an upper side to a lower side.
    Type: Application
    Filed: October 15, 2009
    Publication date: August 18, 2011
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Tsuyoshi Nishiwaki, Jun Saito
  • Publication number: 20110193149
    Abstract: A method of forming a SOI substrate, diodes in the SOI substrate and electronic devices in the SOI substrate and an electronic device formed using the SOI substrate. The method of forming the SOI substrate includes forming an oxide layer on a silicon first substrate; ion-implanting hydrogen through the oxide layer into the first substrate, to form a fracture zone in the substrate; forming a doped dielectric bonding layer on a silicon second substrate; bonding a top surface of the bonding layer to a top surface of the oxide layer; thinning the first substrate by thermal cleaving of the first substrate along the fracture zone to form a silicon layer on the oxide layer to formed a bonded substrate; and heating the bonded substrate to drive dopant from the bonding layer into the second substrate to form a doped layer in the second substrate adjacent to the bonding layer.
    Type: Application
    Filed: April 25, 2011
    Publication date: August 11, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thomas Walter Dyer, Junedong Lee, Dominic J. Schepis
  • Publication number: 20110195555
    Abstract: A method of forming an integrated circuit includes providing a semiconductor wafer including a semiconductor fin dispatched on a surface of the semiconductor wafer; forming a dopant-rich layer having an impurity on a top surface and sidewalls of the semiconductor fin, wherein the impurity is of n-type or p-type; performing a knock-on implantation to drive the impurity into the semiconductor fin; and removing the dopant-rich layer.
    Type: Application
    Filed: February 9, 2010
    Publication date: August 11, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun Hsiung Tsai, Chien-Tai Chan, Mao-Rong Yeh, Da-Wen Lin
  • Publication number: 20110195548
    Abstract: A method for fabricating an integrated device is disclosed. In an embodiment, a hard mask layer with a limited thickness is formed over a gate electrode layer. A treatment is provided to the hard mask layer to make the hard mask layer more resistant to a wet etch solution. Then, a patterning is provided on the treated hard mask layer and the gate electrode to from a gate structure.
    Type: Application
    Filed: February 5, 2010
    Publication date: August 11, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Matt Yeh, Hui Ouyang, Han-Pin Chung, Shiang-Bau Wang
  • Publication number: 20110183503
    Abstract: There is disclosed a substrate processing apparatus including a processing chamber housing a substrate, pipes for supplying gas into the processing chamber, and heaters provided in the middle of the pipes, and heating the gas. In the substrate processing apparatus, the heaters heat the gas to a temperature lower than a temperature at which exhaust gas is generated from the pipes to dry the substrate in the heated gas.
    Type: Application
    Filed: March 31, 2011
    Publication date: July 28, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Tomokazu KAWAMOTO