Chemical Or Electrical Treatment, E.g., Electrolytic Etching (epo) Patents (Class 257/E21.485)
  • Publication number: 20100035378
    Abstract: An etching method is provided in which selective etching can be carried out for an amorphous oxide semiconductor film including at least one of gallium and zinc, and indium. In the etching method, the selective etching is performed using an alkaline etching solution. The alkaline etching solution contains especially ammonia in a specific concentration range.
    Type: Application
    Filed: November 20, 2007
    Publication date: February 11, 2010
    Applicant: Canon Kabushiki Kaisha
    Inventor: Chienliu Chang
  • Publication number: 20100035437
    Abstract: Substrate processing uniformity is improved in the surfaces of wafers and between the wafers. A substrate processing apparatus includes a substrate holder holding horizontally oriented and stacked substrates, an inner tube accommodating the substrate holder, an outer tube enclosing the inner tube, a gas nozzle installed in the inner tube, a gas injection hole formed in the gas nozzle, a source gas supply unit supplying source gas to an inside of the inner tube through the gas nozzle, a gas exhaust outlet formed in a sidewall of the inner tube, an exhaust unit exhausting a gap between the outer tube and the inner tube to create a gas stream inside the inner tube from the gas injection hole to the gas exhaust outlet, and a gas penetration preventing cylinder enclosing a region of the substrate holder lower than a region of the substrate holder where the substrates are stacked.
    Type: Application
    Filed: July 28, 2009
    Publication date: February 11, 2010
    Inventors: Hirohisa YAMAZAKI, Satoshi Okada, Tsutomu Kato
  • Publication number: 20100022094
    Abstract: In an apparatus and a method for processing a substrate, a plurality of chucks are disposed parallel with each other in a process chamber. The chucks fully support back surfaces of substrates and have a plurality of through-holes. Supports are disposed through the through-holes and movable in a vertical direction. The substrates are loaded on the chucks or unloaded from the chucks by relative movement between the chucks and the supports. Thus, an unwanted layer may be prevented from being formed on the back surfaces of the substrates while processing the substrates.
    Type: Application
    Filed: March 7, 2008
    Publication date: January 28, 2010
    Applicant: SOSUL CO., LTD.
    Inventors: Geun-Ho Kim, Seung-Il Park
  • Publication number: 20100022097
    Abstract: A vaporizer, a semiconductor production apparatus and process capable of improving the efficiency in the use of a raw material gas noticeably, enabling uniform deposition according to the raw material gas used, diminishing maintenance frequency to improve productivity. At the time of ALD operation, carrier gas continues to be supplied to a reaction chamber 402, while supplying a material solution of predetermined quantity according to a film thickness of one atomic or molecular layer determined by a micro-metering pump 54, intermittently to an evaporation mechanism 20. Thus, a gas shower type heat CVD apparatus 1 enables a thin film of a desired thickness made of one atomic or molecular layer to be formed on a substrate 420 one by one, while avoiding the raw material gas being thrown away by the opening or closing operation of the reaction-chamber side valve 404 and the vent side valve 407.
    Type: Application
    Filed: February 27, 2006
    Publication date: January 28, 2010
    Applicant: Youtec Co., Ltd.
    Inventors: Hisayoshi Yamoto, Yuji Honda, Shinichi Koshimae
  • Publication number: 20100022093
    Abstract: In a vacuum processing apparatus including a processing chamber having a transfer port, and a transfer chamber connected via a gate chamber to the transfer port, diffusion of a gas remaining in the processing chamber into the transfer chamber is suppressed. In order to suppress diffusion of gas from the processing chamber into the transfer chamber, the gate chamber is provided with a non-reactive gas supply unit and an exhaust port adapted to produce a stream of a non-reactive gas at a region facing the transfer port. This suppresses diffusion of gas from the processing chamber into the transfer chamber through the transfer port.
    Type: Application
    Filed: September 29, 2009
    Publication date: January 28, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hirofumi YAMAGUCHI
  • Publication number: 20100014208
    Abstract: A substrate holder which has an electrostatic chuck on a substrate holding side of a holder main body and electrostatically adsorbs a substrate includes: a heating unit which is built in the electrostatic chuck and heats the substrate; a circulation medium distribution path which is formed inside the holder main body and connected to a circulation medium supplying unit which circulates and supplies a circulation medium; a heat transference varying unit which is formed by sealing a heat transfer gas in a gap between the holder main body and the electrostatic chuck and connected to a heat transfer gas supply system which can control a sealing pressure; and a gas sealing unit which is formed by sealing a heat transfer gas in a gap between the electrostatic chuck and the substrate and connected to the heating transfer gas supply system.
    Type: Application
    Filed: June 30, 2009
    Publication date: January 21, 2010
    Applicant: CANON ANLEVA CORPORATION
    Inventors: Yoshida Tatsuhiko, Kaneko Kazuaki, Tanaka Yoh
  • Publication number: 20100012275
    Abstract: A plasma processing apparatus including an essentially cylindrical chamber, which is airtight and grounded. The antenna unit is disposed on top of the chamber. The chamber has a divisible structure formed of an essentially cylindrical housing and a cylindrical chamber wall connected to the housing from above and surrounding a process space. The chamber wall is detachable.
    Type: Application
    Filed: May 29, 2006
    Publication date: January 21, 2010
    Applicant: Tokyo Electron Limited
    Inventor: Jun Yamashita
  • Publication number: 20100009539
    Abstract: Disclosed is cerium oxide powder for a CMP abrasive, which can improve polishing selectivity of a silicon oxide layer to a silicon nitride layer and/or within-wafer non-uniformity (WIWNU) during chemical mechanical polishing in a semiconductor fabricating process. More particularly, the cerium oxide powder is obtained by using cerium carbonate having a hexagonal crystal structure as a precursor. Also, CMP slurry comprising the cerium oxide powder as an abrasive, and a shallow trench isolation method for a semiconductor device using the CMP slurry as polishing slurry are disclosed.
    Type: Application
    Filed: July 26, 2007
    Publication date: January 14, 2010
    Inventors: Myoung Hwan Oh, Seung Beom Cho, Jun Seok Nho, Jong Pil Kim, Jang Yul Kim
  • Publication number: 20100001361
    Abstract: Getter structure comprising a substrate and at least one getter material-based layer mechanically connected to the substrate by means of at least one support, in which the surface of the support in contact with the substrate is smaller than the surface of a first face of the getter material layer, in which said first face is in contact with the support, and a second face of the getter material layer, opposite said first face is at least partially exposed.
    Type: Application
    Filed: June 29, 2009
    Publication date: January 7, 2010
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Stephane CAPLET, Xavier BAILLIN
  • Publication number: 20100000466
    Abstract: A p-type semiconductor zinc oxide (ZnO) film and a process for preparing the film are disclosed. The film is co-doped with phosphorous (P) and lithium (Li). A pulsed laser deposition scheme is described for use in growing the film. Further described is a process of pulsed laser deposition using transparent substrates which includes a pulsed laser source, a substrate that is transparent at the wavelength of the pulsed laser, and a multi-target system. The optical path of the pulsed laser is arranged in such a way that the pulsed laser is incident from the back of the substrate, passes through the substrate, and then focuses on the target. By translating the substrate towards the target, this geometric arrangement enables deposition of small features utilizing the root of the ablation plume, which can exist in a one-dimensional transition stage along the target surface normal, before the angular width of the plume is broadened by three-dimensional adiabatic expansion.
    Type: Application
    Filed: September 11, 2009
    Publication date: January 7, 2010
    Inventors: Bing LIU, Zhendong Hu, Yong Che, Yuzuru Uehara
  • Publication number: 20100000684
    Abstract: A dry etching apparatus is disclosed, which is capable of forming a uniform pattern in a substrate surface, the dry etching apparatus for etching at least one substrate through the use of plasma, comprising the at least one substrate placed on a tray inside a chamber; a susceptor, provided inside the chamber while confronting with the at least one substrate, for supplying a high-frequency power to form the plasma; a grounding part provided beneath the susceptor while being untouchable to the susceptor; and an insulating part provided between the susceptor and the grounding part.
    Type: Application
    Filed: July 2, 2009
    Publication date: January 7, 2010
    Inventor: Jong Yong Choi
  • Publication number: 20090325336
    Abstract: A method of printing an ink on a wafer surface configured with a set of non-rounded peaks and a set of non-rounded valleys is disclosed. The method includes exposing the wafer including at least some non-rounded peaks and at least some of the non-rounded valleys in a region to an etchant. The method further includes depositing the ink on the region, wherein a set of rounded peaks and a set of rounded valleys are formed.
    Type: Application
    Filed: April 24, 2008
    Publication date: December 31, 2009
    Inventors: Malcolm Abbott, Maxim Kelman, Karel Vanheusden
  • Publication number: 20090325386
    Abstract: A processing system is disclosed for conducting various processes on substrates, such as semiconductor wafers by varying the exposure to a chemical ambient. The processing system includes a processing region having an inlet and an outlet for flowing fluids through the chamber. The outlet is in communication with a conductance valve that is positioned in between the processing region outlet and a vacuum exhaust channel. The conductance valve rapidly oscillates or rotates between open and closed positions for controlling conductance through the processing region. This feature is coupled with the ability to rapidly pulse chemical species through the processing region while simultaneously controlling the pressure in the processing region. Of particular advantage, the conductance valve is capable of transitioning the processing region through pressure transitions of as great as 100:1 while chemical species are flowed through the processing region using equally fast control valves in a synchronous pulsed fashion.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 31, 2009
    Applicant: MATTSON TECHNOLOGY, INC.
    Inventors: Daniel J. Devine, Rudy Santo Tomas Cardema, Shuen Chun Choy, Carl J. Galewski, Yao Zhi Hu, Bruce W. Peuse, Hung Thanh Phan
  • Publication number: 20090320754
    Abstract: Provided is an integrated gas panel apparatus which has excellent responsiveness, stabilizes gas concentration, and furthermore, can keep a conventional panel shape as it is. A panel body (2) comprises at least a main flow channel block body (32) for forming a main flow channel (R2), and a branch flow channel block body (31) for forming a branch flow channel (R1). The branch flow channel block bodies (31) are arranged on the both right and left sides to face each other by having the main flow channel block body (32) at the center.
    Type: Application
    Filed: August 21, 2007
    Publication date: December 31, 2009
    Applicant: HORIBA STEC, CO., LTD.
    Inventors: Kazuhiro Oya, Tatsuya Hayashi
  • Publication number: 20090317977
    Abstract: A manufacturing method for a semiconductor device includes: forming a first deposition film on a surface of a member in a chamber configured to perform plasma etching of a wafer, by introducing a first seasoning gas into the chamber; forming a second deposition film on the first deposition film to coat the first deposition film by introducing a second seasoning gas into the chamber; loading the wafer into the chamber; and performing plasma etching of the wafer.
    Type: Application
    Filed: June 17, 2009
    Publication date: December 24, 2009
    Inventor: Akihiro TAKASE
  • Publication number: 20090318824
    Abstract: A neural probe and method of fabricating same are provided. The probe comprises a plurality of frames connected to each other and to a substrate by respective bimorphs. A probe base is connected by another bimorph to the frames. A probe tip extends from the probe base. The probe can achieve a large vertical motion and out-of-plane curling. The probe can operate according to three modes. The first mode pertains to a large-signal motion for tuning in single-unit neuronal activity. The second pertains to a small-signal motion with lock-in amplifier that increases SNR. The third pertains to burst small-signal motion for clearing tissue responses. Fabrication of a neural probe begins with a processed CMOS chip. Post-CMOS processing incorporates self-aligned selective nickel plating and sacrifices two aluminum layers. The fabrication technique produces a neural probe in which the sensing elements are in close proximity to CMOS circuitry.
    Type: Application
    Filed: June 1, 2006
    Publication date: December 24, 2009
    Applicant: University of Florida Research Foundation Inc.
    Inventors: Toshikazu Nishida, Huikai Xie, Erin E. Patrick, Justin C. Sanchez
  • Publication number: 20090314434
    Abstract: The present invention discloses an inductively coupled coil and an inductively coupled plasma device using the same. The inductively coupled coil comprises an internal coil and an exterior coil which are respective from each other and coaxially arranged, internal coil comprising a plurality of internal respective branches having the same configurations which are nested together, the plurality of internal respective branches being arranged symmetrically with respect to an axis of the inductively coupled coil; the external coil comprising a plurality of external respective branches having the same configurations which are nested together, the plurality of external respective branches being arranged symmetrically with respect to the axis of the inductively coupled coil. The inductively coupled coil is located on the reaction chamber of the inductively coupled plasma device and is connected to a RF source.
    Type: Application
    Filed: January 26, 2007
    Publication date: December 24, 2009
    Inventors: Qiaoli Song, Jianhui Nan
  • Publication number: 20090317981
    Abstract: Provided is a substrate treating method for selectively etching a surface of a substrate. In the substrate treating method, an etchant is supplied to a center portion of a rotating substrate through a first nozzle, and an etch prevention fluid is supplied through a second nozzle disposed at a predetermined position apart from the center portion of the substrate so as to dilute the etchant.
    Type: Application
    Filed: June 24, 2009
    Publication date: December 24, 2009
    Inventors: Bok Kyu Lee, Jong Su Choi, Jun Kee Kang
  • Publication number: 20090311869
    Abstract: Provided is a shower plate capable of more securely preventing the occurrence of backflow of plasma and enabling efficient plasma excitation. A shower plate 106 is disposed in a processing chamber 102 of a plasma processing apparatus and is provided with a plurality of gas discharge holes 113a for discharging a plasma excitation gas to generate plasma in the processing chamber 102, wherein an aspect ratio of a length of the gas discharge hole to a hole diameter thereof (length/hole diameter) is equal to or greater than about 20. The gas discharge holes 113a are made of ceramics members 113 which are separated from the shower plate 106, and the ceramics members 113 are installed in vertical holes 105 opened in the shower plate 106.
    Type: Application
    Filed: July 18, 2007
    Publication date: December 17, 2009
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORP. TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tetsuya Goto, Tadahiro Ohmi, Kiyotaka Ishibashi
  • Publication number: 20090311866
    Abstract: In a metal film production apparatus, a copper plate member is etched with a Cl2 gas plasma within a chamber to form a precursor comprising a Cu component and a Cl2 gas; and the temperatures of the copper plate member and a substrate and a difference between their temperatures are controlled as predetermined, to deposit the Cu component of the precursor on the substrate, thereby forming a film of Cu. In this apparatus, Cl* is formed in an excitation chamber of a passage communicating with the interior of the chamber to flow a Cl2 gas, and the Cl* is supplied into the chamber to withdraw a Cl2 gas from the precursor absorbed onto the substrate, thereby promoting a Cu film formation reaction. The apparatus has a high film formation speed, can use an inexpensive starting material, and can minimize impurities remaining in the film.
    Type: Application
    Filed: August 21, 2009
    Publication date: December 17, 2009
    Applicant: CANON ANELVA CORPORATION
    Inventors: Hitoshi Sakamoto, Naoki Yahata, Toshihiko Nishimori, Yoshiyuki Ooba, Hiroshi Tonegawa, Ikumasa Koshiro, Yuzuru Ogura
  • Publication number: 20090311864
    Abstract: A polishing slurry used in chemical mechanical polishing of a barrier layer and an interlayer dielectric film in a semiconductor integrated circuit includes an abrasive, an oxidizer, an anticorrosive, an acid, a surfactant and an inclusion compound. The polishing slurry has a pH of less than 5. The resulting polishing slurry contains a solid abrasive used in barrier CMP for polishing a barrier layer made of a metallic barrier material, has excellent storage stability, achieves a good polishing rate in various films to be polished such as the barrier layer, and is capable of independently controlling the polishing rate with respect to the various films to be polished while further suppressing agglomeration of the abrasive particles.
    Type: Application
    Filed: June 9, 2009
    Publication date: December 17, 2009
    Applicant: FUJIFILM CORPORATION
    Inventors: Tooru Yamada, Tetsuya Kamimura
  • Publication number: 20090311872
    Abstract: A gas ring has a ring shape and includes: a gas inlet hole through which a gas is introduced from outside the gas inlet hole into the gas ring; a plurality of gas jets that ejects the gas transferred from the gas inlet hole; and a plurality of branched paths extending along the ring shape from the gas inlet hole to each of the plurality of gas jets. Here, distances between each of the plurality of gas jets to central parts, which are branch points of each of the plurality of branched paths, are identical to each other.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 17, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hirokazu UEDA, Yoshinobu TANAKA, Yasuhiro OTSUKA, Masanobu NAKAHASHI
  • Publication number: 20090311807
    Abstract: The present invention is a thermal processing apparatus comprising: a processing vessel capable of being evacuated, the processing vessel also being capable of accommodating, in addition to a plurality of objects, an object for temperature measurement equipped with an elastic wave device; a holding unit configured to be loaded into and unloaded from the processing vessel, while the holding unit holding the plurality of objects to be processed and the object for temperature measurement; a gas introduction unit configured to introduce a gas into the processing vessel; a heating unit configured to heat the plurality of objects to be processed and the object for temperature measurement that are accommodated in the processing vessel; a first conductive member configured to function as a transmitter antenna connected to a transmitter through a radiofrequency line, for transmitting an electric wave for measurement toward the elastic wave device accommodated in the processing vessel; a second conductive member config
    Type: Application
    Filed: June 10, 2009
    Publication date: December 17, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenichi Yamaga, Wenling Wang
  • Publication number: 20090301656
    Abstract: An end of the slot plate of the microwave antenna, which constitutes a microwave plasma processing apparatus, is held and fixed by being held between a pair of metal bodies.
    Type: Application
    Filed: June 4, 2009
    Publication date: December 10, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinya NISHIMOTO, Kazunari SAKATA
  • Publication number: 20090305512
    Abstract: The present invention is a substrate processing apparatus including: a holder that holds substrates in a tier-like manner; a processing container that contains the holder and that conducts a predetermined thermal process to the substrates in a process-gas atmosphere under a predetermined temperature and pressure; a gas-introducing part that introduces a process gas into the processing container; a gas-discharging part that discharges a gas from the processing container to create a predetermined vacuum pressure therein; and a heating part that heats the processing container; wherein the holder is provided with baffle plates each of which forms a processing space for each substrate when the holder is contained in the processing container; the gas-introducing part is provided with gas introduction holes disposed at one lateral side of the respective processing spaces; and the gas-discharging part is provided with gas discharge holes disposed at the other lateral side of the respective processing spaces, opposite
    Type: Application
    Filed: October 6, 2006
    Publication date: December 10, 2009
    Inventors: Hiroyuki Matsuura, Ken Nakao
  • Publication number: 20090298289
    Abstract: The present invention relates to a novel slurry composition for copper polishing, comprising zeolite which is a porous crystalline material for CMP of copper film in a semiconductor manufacturing process. The slurry composition according to the present invention comprises zeolite, an oxidant and a polish promoting agent and may further comprise a corrosion inhibitor, a surfactant, an aminoalcohol, an antiseptic and a dispersion agent and pH is in a range of 1 to 7. The zeolite slurry according to the present invention has advantages of absorbing and removing metal cation generated in CMP process by using zeolite and having a low level of scratches as the zeolite has micropores therein and thus its hardness is low. The slurry composition using zeolite of the present invention is usable to both first and second step polishing of copper damascene process and particularly useful as the first step polishing slurry for copper.
    Type: Application
    Filed: March 29, 2007
    Publication date: December 3, 2009
    Applicant: TECHNO SEMICHEM CO., LTD.
    Inventors: Eun-Il Jeong, Hyu-Bum Park, Seok-Ju Kim, Deok-Su Han, Jung-Ryul Ahn, Jong-Kwan Park, Kui-Jong Baek
  • Publication number: 20090298295
    Abstract: A surface treatment solution for finely processing a glass substrate containing multiple ingredients like the one used for the construction of a liquid crystal-based or organic electroluminescence-based flat panel display device, without evoking crystal precipitation and surface roughness. An etching solution of the invention contains, in addition to hydrofluoric acid (HF), at least one acid whose dissociation constant is larger than that of HF. The concentration of the acid in the solution is adjusted.
    Type: Application
    Filed: July 20, 2009
    Publication date: December 3, 2009
    Applicant: STELLA CHEMIFA KABUSHIKI KAISHA
    Inventors: Hirohisa KIKUYAMA, Masayuki MIYASHITA, Tatsuhiro YABUNE, Tadahiro OHMI
  • Publication number: 20090294060
    Abstract: A semiconductor device manufacturing apparatus includes a process chamber, a conveyance chamber, a conveyance robot, a lock chamber, and a heating unit or temperature adjusting unit for reducing adherence of particles onto a substance to be processed by a thermo-phoretic force. The heating unit enables control of a temperature of the substance to be processed to be higher than a temperature of an inner wall or structural body of the process chamber or the conveyance chamber or the conveyance robot or the lock chamber, in conveying the substance to be processed. The temperature adjusting unit enables adjustment of a temperature of an inner wall or structural body of the process chamber or the conveyance chamber or the lock chamber to be lower than a temperature of the substance to be processed, in conveying the substance to be processed.
    Type: Application
    Filed: August 11, 2009
    Publication date: December 3, 2009
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa
  • Publication number: 20090291565
    Abstract: Disclosed is a method for stripping a photoresist comprising: (I) providing a photoresist pattern on a substrate where the substrate has at least a copper (Cu) wiring and a low-dielectric layer thereon, and selectively etching the low-dielectric layer by using the photoresist pattern as a mask; (II) contacting the substrate after the step (I), with ozone water and/or aqueous hydrogen peroxide; and (III) contacting the substrate after the step (II), with a photoresist stripping solution that contains at least a quaternary ammonium hydroxide.
    Type: Application
    Filed: July 29, 2009
    Publication date: November 26, 2009
    Inventors: Shigeru Yokoi, Kazumasa Wakiya, Takayuki Haraguchi
  • Publication number: 20090291558
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a clean room by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Application
    Filed: July 30, 2009
    Publication date: November 26, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ki-sang KIM, Gyu-chan JEOUNG, Gyu-hwan KWAG
  • Patent number: 7622391
    Abstract: A method of forming a semiconductor structure comprises providing a semiconductor structure comprising a layer of a dielectric material provided over an electrically conductive feature. An opening is formed in the layer of dielectric material. The opening is located over the electrically conductive feature and has a first lateral dimension. A cavity is formed in the electrically conductive feature. The cavity has a second lateral dimension being greater than the first lateral dimension. The cavity and the opening are filled with an electrically conductive material.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: November 24, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Thomas Werner, Ruo Qing Su
  • Publication number: 20090275201
    Abstract: A substrate processing method implemented in a substrate processing system that includes an etching apparatus that carries out plasma etching processing on a substrate and a vacuum-type substrate transferring apparatus to which the etching apparatus is connected is provided. A first step includes forming a protective film on a rear surface of the substrate before the plasma etching processing is carried out. The protective film prevents the rear surface of the substrate from being scratched by an electrostatic chuck that electrostatically attracts the substrate during the plasma etching processing. A second step includes electrostatically attracting the substrate to the electrostatic chuck such that the electrostatic chuck directly contacts the rear surface of the substrate and of carrying out the plasma etching processing on the substrate. A third step includes removing the protective film from the rear surface of the substrate after the plasma etching processing has been carried out.
    Type: Application
    Filed: July 13, 2009
    Publication date: November 5, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Eiichi NISHIMURA
  • Publication number: 20090267492
    Abstract: Provided are an organic light emitting display device and a method of manufacturing the same. The organic light emitting display device includes a substrate; an sealing substrate facing the substrate, an organic light emitting unit disposed between the substrate and the sealing substrate and having a plurality of organic light emitting devices emitting light, and a plurality of grooves formed in a light extracting surface of the organic light emitting display device through which the light is emitted to the outside. In one embodiment, the grooves are formed on the sealing substrate, and in another embodiment, the grooves are formed on the substrate.
    Type: Application
    Filed: January 6, 2009
    Publication date: October 29, 2009
    Inventors: Oh-June Kwon, Kwan-Hee Lee, Seung-Yong Song, Young-Seo Choi, Sun-Young Jung, Young-Cheol Joo, Ji-Hun Ryu
  • Publication number: 20090266487
    Abstract: A microwave introduction device includes a microwave generator for generating a microwave of a predetermined frequency, a mode converter for converting the microwave into a predetermined oscillation mode, a planar antenna member arranged toward a predetermined space, and a coaxial waveguide connecting the mode converter with the planar antenna member to propagate the microwave. A central conductor of the coaxial waveguide is formed in a cylindrical shape, an inner diameter D1 of the central conductor is not smaller than a first predetermined value, and an outer conductor of the central conductor is also formed in a cylindrical shape. A ratio r1/r2 of a radius r1 of an inner diameter of the outer conductor to a radius r2 of an outer diameter of the central conductor is maintained at a second predetermined value and the inner diameter D2 the outer conductor is not greater than a third predetermined value.
    Type: Application
    Filed: November 15, 2006
    Publication date: October 29, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Caizhong Tian, Tamaki Yuasa, Toshihisa Nozawa
  • Publication number: 20090267167
    Abstract: A fluid component includes at least one substrate of a material that can be etched and an etch stop layer for said material means for detecting the properties of a fluid and/or for activating said fluid and provided on a first side of said etch stop layer and means for receiving said fluid, formed in the substrate and provided on the second side of the etch stop layer.
    Type: Application
    Filed: September 20, 2007
    Publication date: October 29, 2009
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Patrick Pouteau, Raymond Campagnolo, Martine Cochet
  • Publication number: 20090266414
    Abstract: Provided are: a process for producing safely at low cost a semiconductor substrate excellent in photoelectric conversion efficiency, and stable in an etching rate and a pyramid shape, which is capable of uniformly forming a fine uneven structure with desired size suitable for a solar cell on the surface thereof; a semiconductor substrate for solar application having a uniform and fine pyramid-shaped uneven structure in a plane; and an etching solution for forming a semiconductor substrate having a uniform and fine uneven structure, which has a high stability at initial use. The process comprises etching a semiconductor substrate with the use of an alkaline etching solution containing at least one kind selected from the group consisting of carboxylic acids having a carbon number of 1 to 12 and having at least one carboxyl group in a molecule, salts thereof, and silicon, to thereby form an uneven structure on the surface of the semiconductor substrate.
    Type: Application
    Filed: April 20, 2007
    Publication date: October 29, 2009
    Applicants: Mimasu Semiconductor Industry Co., Ltd., Space Energy Corporation
    Inventors: Masato Tsuchiya, Ikuo Mashimo, Yoshimichi Kimura
  • Publication number: 20090263971
    Abstract: A method of manufacturing a semiconductor device comprises: (a) loading a substrate into a process chamber, wherein the substrate has at least a silicon exposure surface and an exposure surface of silicon oxide film or silicon nitride film on a substrate surface; (b) simultaneously supplying at least a first process gas containing silicon and a second process gas for etching into the process chamber under conditions that the substrate inside the process chamber is heated to a predetermined temperature; and (c) supplying a third process gas having a stronger etchability than the second process gas into the process chamber, wherein the operation (b) and the operation (c) are performed at least one or more times so that an epitaxial film is selectively grown on the silicon exposure surface of the substrate surface
    Type: Application
    Filed: March 25, 2009
    Publication date: October 22, 2009
    Inventor: Junichi Tanabe
  • Publication number: 20090255630
    Abstract: Disclosed is a substrate processing apparatus, including: a reaction chamber to process a substrate; a substrate placing member to stack a plurality of substrates thereon in multi-layers at a predetermined distance from one another in the reaction chamber; an introducing section to introduce processing gas into the reaction chamber; an exhaust section to exhaust an inside of the reaction chamber; and a plurality of pairs of comb electrodes, to which alternating current electric power is to be applied, to generate plasma, the plurality of pairs of comb electrodes being disposed in the reaction chamber, wherein each pair of the plurality of pairs of comb electrodes are disposed at a predetermined distance from each of plasma processing faces of the plurality of the substrates to be placed on the substrate placing member.
    Type: Application
    Filed: April 26, 2006
    Publication date: October 15, 2009
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kazuyuki Toyoda, Shinji Yashima, Yuji Takebayashi, Takeshi Itoh
  • Publication number: 20090253269
    Abstract: A semiconductor manufacturing apparatus comprises: a substrate process chamber accommodating a substrate; a member heating the substrate, wherein the semiconductor manufacturing apparatus is a substrate processing apparatus for forming a film on the substrate by alternately supplying at least two process gases that react with each other to the substrate process chamber; gas supply units configured to supply the process gases independently; a cleaning gas supply source containing a cleaning gas for supplying the cleaning gas through the gas supply units; an exhaust control unit exhausting gas from the substrate process chamber through an exhaust pipe; an exhaust pipe heating unit heating the exhaust pipe; and a control unit controlling the exhaust pipe heating unit to keep the exhaust pipe higher than a predetermined temperature while a cleaning gas is exhausted from the substrate process chamber through the exhaust pipe by the exhaust control unit after the substrate is processed.
    Type: Application
    Filed: March 25, 2009
    Publication date: October 8, 2009
    Inventor: Masayuki Tsuneda
  • Publication number: 20090246962
    Abstract: A substrate processing method for use in a substrate processing apparatus having a stocker therein which stores a multiplicity of dummy substrates; a reaction chamber for producing semiconductor products; and a transferring unit for transferring into the reaction chamber a process substrate and the dummy substrate stored in the stocker in order to form a film on the process substrate, the method includes transferring one dummy substrate selected among the dummy substrates stored in the stocker to the reaction chamber without being out of the apparatus; and introducing a cleaning gas into the reaction chamber to clean said one dummy substrate within the reaction chamber.
    Type: Application
    Filed: May 21, 2009
    Publication date: October 1, 2009
    Applicant: Hitachi Kokusai Electric Inc.
    Inventor: Kouji TOMETSUKA
  • Publication number: 20090246964
    Abstract: The invention is directed to a method for etching a phase change material layer comprising steps of providing a phase change material layer and performing a first etching process on the phase change material layer. The etching process is performed with an etchant comprising a fluoride-based gas with a concentration of the fluoride-based gas up to 85% of a total volume of the etchant.
    Type: Application
    Filed: October 31, 2008
    Publication date: October 1, 2009
    Applicant: MACRONIX International Co., Ltd.
    Inventors: Huai-Yu Cheng, Yi-Chou Chen
  • Publication number: 20090227087
    Abstract: Embodiments of this method improve the results of a chemical mechanical polishing (CMP) process. A surface is implanted with a species, such as, for example, Si, Ge, As, B, P, H, He, Ne, Ar, Kr, Xe, and C. The implant of this species will at least affect dishing, erosion, and polishing rates of the CMP process. The species may be selected in one embodiment to either accelerate or decelerate the CMP process. The dose of the species may be varied over the surface in one particular embodiment.
    Type: Application
    Filed: December 10, 2008
    Publication date: September 10, 2009
    Applicant: Varian Semiconductor Equipment associates, Inc.
    Inventors: Deepak RAMAPPA, Thirumal Thanigaivelan
  • Publication number: 20090227075
    Abstract: An etchant composition that allows simplification and optimization of semiconductor manufacturing process is presented, along with a method of patterning a conductive layer using the etchant and a method of manufacturing a flat panel display using the etchant. The etchant includes nitric acid, phosphoric acid, acetic acid, and an acetate compound in addition to water.
    Type: Application
    Filed: February 24, 2009
    Publication date: September 10, 2009
    Inventors: Bong-Kyun Kim, Hong-Sick Park, Jong-Hyun Choung, Sun-Young Hong, Ji-Sun Lee, Byeong-Jin Lee, Kui-Jong Baek, Tai-Hyung Lee, Yong-Sung Song
  • Publication number: 20090221151
    Abstract: The present invention provides an upper electrode used in an etching apparatus and the etching apparatus including the upper electrode, both of which can properly reduce intensity of electric field of plasma around a central portion of a substrate to be processed, thus enhancing in-plane uniformity of a plasma process. In this apparatus, a recess, serving as a space for allowing a dielectric to be injected therein, is provided around a central portion of the upper electrode. A dielectric supply passage configured for supplying the dielectric into the space and a dielectric discharge passage configured for discharging the dielectric from the space are connected with the space, respectively.
    Type: Application
    Filed: February 11, 2009
    Publication date: September 3, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu Honda, Shinji Himori
  • Publication number: 20090215201
    Abstract: A chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater. The temperature-controlled base is controlled in operation a temperature below the desired temperature of a workpiece. The thermal insulator is disposed over at least a portion of the temperature-controlled base. The flat support holds a workpiece and is disposed over the thermal insulator. A heater is embedded within the flat support and/or mounted to an underside of the flat support. The heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently. The heater and flat support have a combined temperature rate change of at least 1° C. per second.
    Type: Application
    Filed: May 6, 2009
    Publication date: August 27, 2009
    Inventors: Neil Benjamin, Robert Steger
  • Publication number: 20090209110
    Abstract: A spin etching method for etching a back-side surface of a semiconductor wafer provided with a plurality of devices on the face side and subjected to back grinding, wherein the semiconductor wafer is held with its back-side surface down, and the back-side surface of the semiconductor wafer is supplied with an etching liquid from an etching liquid supply nozzle disposed on the lower side of the semiconductor wafer while the semiconductor wafer being rotated.
    Type: Application
    Filed: January 30, 2009
    Publication date: August 20, 2009
    Applicant: DISCO CORPORATION
    Inventors: Ayumu Okano, Osamu Nagai
  • Publication number: 20090191716
    Abstract: A polysilicon layer removing method capable of substantially removing etching residue, while improving the shape of an etching boundary is disclosed. The method for removing the polysilicon layer from a beveled portion of a wafer W through wet etching includes hydrophilizing the polysilicon layer, without removing the polysilicon layer from the beveled portion, and supplying an etchant having the mixture of hydrofluoric acid and nitric acid onto the hydrophilized polysilicon layer of the beveled portion, while the wafer is rotated at revolutions enough for flattening an etching boundary.
    Type: Application
    Filed: January 30, 2009
    Publication date: July 30, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Mitsunori Nakamori
  • Publication number: 20090173993
    Abstract: A vertically conducting semiconductor device includes a semiconductor substrate having a topside surface and a backside surface. The semiconductor substrate serves as a terminal of the vertically conducting device for biasing the vertically conducting device during operation. An epitaxial layer extends over the topside surface of the semiconductor substrate but terminates prior to reaching an edge of the semiconductor substrate so as to form a recessed region along a periphery of the semiconductor substrate. An interconnect layer extends into the recessed region but terminates prior to reaching an edge of the semiconductor substrate. The interconnect layer electrically contacts the topside surface of the semiconductor substrate in the recessed region to thereby provide a topside contact to the semiconductor substrate.
    Type: Application
    Filed: July 7, 2008
    Publication date: July 9, 2009
    Inventors: John T. Andrews, Hamza Yilmaz, Bruce Marchant, Ihsiu Ho
  • Publication number: 20090176372
    Abstract: A chemical mechanical polishing slurry includes at least one water-soluble polymer selected from a group consisting of polyacrylic acid, polymethacrylic acid and a salt thereof each having a weight-average molecular weight of 1,000,000 to 10,000,000, ?-cyclodextrin, colloidal silica, and water.
    Type: Application
    Filed: December 19, 2008
    Publication date: July 9, 2009
    Inventors: Gaku MINAMIHABA, Nobuyuki KURASHIMA, Atsushi SHIGETA, Yoshikuni TATEYAMA, Hiroyuki YANO
  • Publication number: 20090156016
    Abstract: A method for transferring a thin layer from an initial substrate includes forming an assembly of the initial substrate with one face of a silicone type polymer layer, this face having been treated under an ultraviolet radiation, and processing the initial substrate to form the thin layer on the silicone type polymer layer.
    Type: Application
    Filed: December 16, 2008
    Publication date: June 18, 2009
    Inventor: Lea Di Cioccio