Parallel To Serial Patents (Class 341/101)
  • Publication number: 20120243361
    Abstract: Data serializers, output buffers, memory devices and methods for serializing are provided, including a data serializer that may convert digits of parallel data to a stream of corresponding digits of serial data digits. One such data serializer may include a logic system receiving the parallel data digits and clock signals having phases that are equally phased apart from each other. Such a data serializer may use the clock signals to generate data sample signals having a value corresponding to the value of a respective one of the parallel data digits and a timing corresponding to a respective one of the clock signals. The data sample signals may be applied to a switching circuit that includes a plurality of switches, such as respective transistors, coupled to each other in parallel between an output node and a first voltage.
    Type: Application
    Filed: June 7, 2012
    Publication date: September 27, 2012
    Applicant: Micron Technology, Inc.
    Inventor: Seong-Hoon Lee
  • Patent number: 8274412
    Abstract: In certain embodiments of the invention, a serializer has (a) an initial, transfer stage that transfers incoming parallel data from a relatively slow timing domain to a relatively fast timing domain and (b) a final, serializing stage that converts the parallel data into serialized data. Between the transfer stage and the serializing stage is an update stage that (i) buffers data between the initial and final stages and (ii) can be used to toggle the serializer between an N?1 operating mode (that serializes (N?1) bits of parallel data) and an N+1 operating mode (that serializes (N+1) bits of parallel data) to achieve a net N:1 gearing ratio where N is an odd integer. The serializer can be configurable to support other gearing ratios as well.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: September 25, 2012
    Assignee: Lattice Semiconductor Corporation
    Inventors: Fulong Zhang, Ling Wang, John Schadt
  • Publication number: 20120200436
    Abstract: A method is provided for saving power in 1:N serializer and N:1 de-serializer by pre-loading the state of shift registers. Pre-loading the last stage of N shift registers with the last parallel data bit value in the multiplexor minimizes state changes in shift registers in the serializer. Pre-loading the 1st stage of N shift registers with the last bit data value in the previous N-bit serial data bit value in the de-multiplexor minimizes state changes in shift registers in the de-serializer. Power consumption can be significantly saved due to minimized number of state changes.
    Type: Application
    Filed: February 4, 2011
    Publication date: August 9, 2012
    Inventor: Hui Wang
  • Patent number: 8237980
    Abstract: A serial I/F has: a FIFO portion to which m- or n-bit (m<n) parallel data is written based on PCLK; a FIFO reader that reads the parallel data written to the FIFO portion m bits at a time based on FCLK; a parallel/serial converter that converts the m-bit parallel data read by the FIFO reader into 1-bit serial data based on PLLCLK; a PLL circuit that produces PLLCLK by multiplying PCLK by a factor of m or n; and a frequency divider circuit that produces FCLK by dividing the frequency of PLLCLK by m. Here, the multiplication factor of the PLL circuit is so controlled as to be changed according to the number of bits of the parallel data written to the FIFO portion. This makes it possible to flexibly deal with parallel inputs having different bus widths without unduly increasing a device scale and cost.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: August 7, 2012
    Assignee: Rohm Co., Ltd.
    Inventors: Tatsuhiko Murata, Masayu Fujiwara, Tomoki Yamamoto, Takeshi Matsuzaki
  • Patent number: 8217814
    Abstract: A serial-to-parallel converter includes a sampling unit that may, in response to a serial clock signal, sample a serial data stream and provide an even serial data stream, and an odd serial data stream. The serial-to-parallel converter also includes a strobe generator and a number of latches. The strobe generator generates a plurality of enable signals based upon the serial clock signal. The frequency of a given enable signal corresponds to a fractional multiple of a frequency of the serial clock signal. In response to a particular respective enable signal, each of a first portion of the latches may latch and output a particular respective even data bit. Each of a second portion of the latches may latch and output a particular respective odd data bit. The serial-to-parallel converter further includes a number of output flip-flops to output the data bits in parallel in response to an output clock signal.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: July 10, 2012
    Assignee: MoSys, Inc.
    Inventor: Mahmudul Hassan
  • Patent number: 8212694
    Abstract: A data output circuit is presented. The data output circuit includes: a data serializer and a driver. The data serializer is configured to generate serial data using first parallel data. The driver is configured to drive the serial data to generate output data. The data serializer is also configured to generate the serial data by multiplexing second parallel data generated by changing a power domain of the first parallel data.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: July 3, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dong Uk Lee
  • Patent number: 8199036
    Abstract: There is provided a parallel-serial converter including a selector to convert parallel data to serial data, a flip-flop to which the serial data are input so as to latch the serial data, a generator to generate replica data simulating the serial data, a detector to detect a first switching point of the replica data and a second switching point subsequent to the first switching point, and a controller to control relative timings of timing converted to the serial data in the selector and timing when the serial data is latched in the flip-flop, based on the first switching point and the second switching point.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: June 12, 2012
    Assignee: Fujitsu Limited
    Inventors: Mariko Sugawara, Yukito Tsunoda, Tetsuji Yamabana
  • Patent number: 8188894
    Abstract: Serial-to-parallel and parallel-to-serial conversion devices may provide for efficient conversion of serial bit streams into parallel data units (and vice versa). In one implementation, a device may include delay circuits, each of which being configured to receive a serial data stream. A rotator circuit may receive the delayed serial data streams and rearrange bits in the serial data streams. Register circuits may receive the output of the rotator circuit and collectively output, in parallel, a number of bits of one of the serial bit streams.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: May 29, 2012
    Assignee: Infinera Corporation
    Inventors: Chung Kuang Chin, Prasad Paranjape
  • Patent number: 8184026
    Abstract: An optimized Mobile Industry Processor Interface (MIPI) includes a transmitter physical (PHY) layer configured to convert input data into serial data and transmit the serial data in synchronization with a high-speed clock, a receiver PHY layer configured to convert the serial data into 8-bit parallel data in synchronization with the clock received from the transmitter, a bit merge block configured to merge the parallel data received from the receiver PHY layer so as to form 32-bit data using multiple lanes and to transmit the 32-bit data to a receiver protocol layer, the receiver protocol layer being configured to decode and recognize the data received from the bit merge block.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 22, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: Bo Sung Kim, Seung Nam Park, Jae Il Cheon
  • Patent number: 8169347
    Abstract: A parallel data output device includes a first latch circuit that latches and outputs one of at least two data signals input in parallel in accordance with a first clock signal; a second latch circuit that latches and outputs another of the at least two data signals in accordance with a second clock signal; and a phase set circuit that shifts at least one of a phase of the first clock signal and a phase of the second clock signal based on the phase of the first clock signal and the phase of the second clock signal.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: May 1, 2012
    Assignee: Fujitsu Limited
    Inventor: Yukito Tsunoda
  • Patent number: 8169348
    Abstract: In a parallel-serial converter circuit of a multistage configuration, there is formed a clock propagation path so that when multistage connected data converters are operated according to the timing of a clock signal, a reference clock signal or a clock signal in which the reference clock signal has been frequency-converted, is given sequentially to the data converter of the first stage up to the data converter of the final stage. As a result, even in a case where variations occur in power supply voltage, timing deviation of data signals and clock signals input to the data converters of the second and subsequent stages can be suppressed, and parallel-serial conversion of high-speed data signals can be reliably executed.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: May 1, 2012
    Assignee: Fujitsu Limited
    Inventor: Yukito Tsunoda
  • Patent number: 8169346
    Abstract: An apparatus for processing digital input signals transferred from a plurality of circuit breakers includes: a plurality of signal input terminals configured to receive a plurality of digital input signals, which are generated from the plurality of circuit breakers and indicate an ON/OFF state of the plurality of circuit breakers, in parallel; a digital input signal parallel-to-serial converting unit configured to convert the parallel digital input signals from the plurality of signal input terminals into serial digital input signals, and output the converted serial digital input signals according to a control signal; and a controller configured to receive and process the serial digital input signals transferred from the digital input signal parallel-to-serial converting unit, and transmit the control signal to the digital input signal parallel-to-serial converting unit.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: May 1, 2012
    Assignee: LS Industrial Systems Co., Ltd.
    Inventor: Min Cheol Song
  • Patent number: 8166217
    Abstract: A controller for interfacing a host and storage device is provided. The controller includes a channel that can receive data from the storage device in a first format and store the data in an intermediate buffer memory in a second format. The channel includes conversion logic that converts data from the first format to the second format and from the second format to the first format depending upon whether data is being read or written from the buffer memory. The conversion logic uses a shuttle register and shuttle counter for aligning data that is being transferred between the storage device and the buffer memory by appropriately concatenating data to meet the first and second format requirements. The first format is based on 10-bit symbols and the second format is based on 8-bits.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 24, 2012
    Assignee: Marvell International Ltd.
    Inventors: Theodore C. White, William W. Dennin, Angel G. Perozo
  • Patent number: 8166219
    Abstract: Provided is a bus signal encoding/decoding method and apparatus. The bus signal encoding method includes receiving a bus signal, XOR-operating all but the first byte sequence of the bus signal in a bitwise manner, inverting the even-numbered byte sequences of the XOR-operated bus signal in a bitwise manner, and serializing the inverted bus signal.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: April 24, 2012
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jae Sung Lee, Sung Nam Kim, Seong Woon Kim
  • Patent number: 8154431
    Abstract: A waveform memory 66 stores data streams with each data stream having M-bit parallel data. A sequence memory 60 stores sequence information and data discard information on the amount of data to discard from the last data in each data stream. A sequencer 62 and a waveform memory controller 64 access the waveform memory 66 to provide the data streams using the sequence information. A barrel shifter 68 shifts data in the data stream according to the number of effective data of the last parallel data in the previous data stream if the number of the effective data is less than M. A data shift controller 100 generates data enables indicating whether the data in the data stream are effective or not based on the data discard information. A combiner 72 combines the effective data in the data stream using the data enables.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: April 10, 2012
    Assignee: Tektronix International Sales GmbH
    Inventor: Yoshikazu Shinoda
  • Publication number: 20120075127
    Abstract: A data transfer method multiplexes a data character having a bit width M (M is a natural number greater than or equal to 3) and a control character having a bit width N (N is a natural number greater than or equal to 1), and adds a control character valid signal indicating whether the control character is valid, in order to generate a symbol code having a bit width M+1 or N+3, whichever is greater, and converts the symbol code from parallel data into serial data to be output to a transmission line.
    Type: Application
    Filed: December 6, 2011
    Publication date: March 29, 2012
    Applicant: Fujitsu Limited
    Inventor: Seishi Okada
  • Publication number: 20120038497
    Abstract: A transmission interface includes a first pin, a second pin, a conversion unit, and a decoding unit. The conversion unit receives a serial input data stream via the first pin and receives a serial clock via the second pin. The conversion unit converts the serial input data stream to parallel input data and converts the serial clock to a parallel clock. The serial input data stream has a full swing form. The decoding unit receives and decodes the parallel input data and generates an input data signal according to the decoded parallel input data.
    Type: Application
    Filed: August 12, 2010
    Publication date: February 16, 2012
    Applicant: MEDIATEK INC.
    Inventors: Wei-Cheng Ku, Chung-Hung Tsai, Chun-Nan Li, Yi-Hsi Chen
  • Patent number: 8106798
    Abstract: A parallel to serial conversion circuit makes output data normally swing even in a high-speed operation. The parallel to serial conversion circuit includes a main selection block configured to drive an output node sequentially in response to data on a first line and data on a second line, and a subsequent selection block configured to drive the output node sequentially in response to data on a subsequent first line and data on a subsequent second line, wherein the output node is driven by inverted data of the data on the subsequent first line and inverted data of the data on the subsequent second line.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: January 31, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chang-Kyu Choi
  • Patent number: 8102288
    Abstract: A data transmitting circuit that converts parallel data into serial data to output the serial data, includes a first data input port that receives first parallel data at a first data rate based on a reference input clock; a second data input port that receives second parallel data at a second data rate lower than the reference input clock, a data expansion unit that generates expanded data by expanding a bit number of the second parallel data to a bit number of the first parallel data, a serial data generation unit that performs a process for generating first serial data by performing a serial conversion on the first parallel data based on the reference input clock and a process for generating second serial data by performing a serial conversion on the expanded data, and a data output port that outputs the first serial data or the second serial data.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: January 24, 2012
    Assignee: Fujitsu Limited
    Inventor: Yoichi Koyanagi
  • Publication number: 20120007755
    Abstract: Various exemplary embodiments of this disclosure provide parallel to serial conversion apparatuses that includes a bit-swapping circuit that generates bit-swapped parallel data by swapping bits of input parallel data, and a parallel to serial conversion circuit that acquires M1 and M2 bits of the bit-swapped parallel data in a first and a second mode, respectively. The parallel to serial conversion circuit generates serial data by arranging the acquired bits of the bit-swapped parallel data in a first specified order in the first mode and in a second specified order in the second mode The bit-swapping circuit swaps the bits of the input parallel data such that the parallel to serial conversion circuit acquires 1st to M1-th and 1st to M2-th bits of the input parallel data in the first and second modes, respectively, and arranges the acquired bits of the input parallel data in the same order.
    Type: Application
    Filed: July 5, 2011
    Publication date: January 12, 2012
    Applicant: KAWASAKI MICROELECTRONICS INC.
    Inventor: Shoichiro KASHIWAKURA
  • Patent number: 8094047
    Abstract: Some embodiments include apparatus and methods having an output line, clock nodes to receive clock signals, the clock signals being out of phase with each other, and selector circuits to receive data in parallel. In at least one embodiment, the selector circuits are responsive to the clock signals to transfer the data serially to the output line. Such apparatus and methods can also include a control unit to influence a portion of a signal that represents at least a portion of the data at the output line. Additional apparatus and methods are described.
    Type: Grant
    Filed: September 10, 2009
    Date of Patent: January 10, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Greg King
  • Publication number: 20120001779
    Abstract: The described embodiments may provide a chemical detection circuit. The chemical detection circuit may comprise a pixel array, a pair of analog-to-digital converter (ADC) circuit blocks, a pair of input/output (I/O) circuit blocks coupled to the pair of ADC circuit blocks respectively, and a plurality of serial link terminals coupled to the pair of IO circuit blocks. The pixel array may comprise a plurality of chemically-sensitive pixels formed in columns and rows. Each chemically-sensitive pixel may comprise: a chemically-sensitive transistor, and a row selection device.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 5, 2012
    Applicant: LIFE TECHNOLOGIES CORPORATION
    Inventors: Keith FIFE, Jungwook YANG
  • Patent number: 8085173
    Abstract: A multi-speed burst mode serializer/de-serializer (SerDes) is configurable and can operate in one of a plurality of operating modes. The plurality of operating modes correspond to the reception of signals from optical network units that operate at different nominal speeds. These various modes of operation can enable a single SerDes design to apply to a variety of speeds and network configurations (e.g., point-to-point or point-to-multipoint). In one example, the design can be initially configured for operation with a single ONT or a network of ONTs at a single speed, or can be dynamically configured during operation for use with a network of ONTs operating at different speeds.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: December 27, 2011
    Assignee: Broadcom Corporation
    Inventor: Wael William Diab
  • Patent number: 8085066
    Abstract: A microprocessor control unit (MCU) is mounted on a printed circuit board. The MCU includes first and second clocked serial interface (CSI) circuits. The first CSI circuit is configured to serially transmit a first xCP packet to a first encoder circuit, which in turn is configured to generate an encoded first xCP packet as a function of the first xCP packet and a first clock signal. A first low voltage differential signal (LVDS) circuit is coupled to the first encoder circuit and configured to serially receive the encoded first xCP packet therefrom. The first LVDS circuit is configured to generate a first differential signal as a function of the encoded first xCP packet.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: December 27, 2011
    Assignee: Renesas Electronics America Inc.
    Inventors: Jeremy W. Brodt, Amit Choudhury, Ben F. McCormick, II
  • Patent number: 8081095
    Abstract: A data output circuit includes: a data generating circuit configured to generate output data; and a serial output circuit configured to receive an address corresponding to the data generating circuit, hold a parallel data input during a time period over which the address is being received, and serially output the output data generated by the data generating circuit and the held parallel data in accordance with an output direction signal for directing output of the data.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: December 20, 2011
    Assignees: Semiconductor Components Industries, LLC, Sanyo Semiconductor Co., Ltd.
    Inventors: Yasuo Osawa, Hiroyuki Arai, Tetsuya Tokunaga, Yoshiyuki Yamagata
  • Patent number: 8081094
    Abstract: A signal transmission system in which a serializer IC connected to first parallel signal wirings and a deserializer IC connected to second parallel signal wirings are connected by a transmission line. Among input terminals of the serializer IC, redundant input terminals which are not connected to the first parallel signal wirings are connected to one wiring obtained by branching off the first parallel signal wirings. When parallel signals are converted into a serial signal, their bit data is arranged into the serial signal which is temporally continuous. Thus, the number of transition times of the serial signal is reduced and radiation noises can be suppressed.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: December 20, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shinichi Nishimura
  • Patent number: 8060654
    Abstract: A data communication network may include two or more master clocks, and a synchronization system connected to the master clocks. The synchronization system may determine a time-base for the master clocks. The synchronization system may control the master clocks according to the determined time-base. The data communication network may include one or more slave clocks. The slave clocks may be controlled by a slave clock time-base controller based on time information of a single selected master clock selected from the master clocks.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: November 15, 2011
    Assignee: Freescale Semiconductor, Inc
    Inventors: Florian Bogenberger, Mathias Rausch
  • Patent number: 8049649
    Abstract: A parallel-to-serial conversion circuit for converting pieces of parallel data into serial data, and a parallel-to-serial converting method thereof include: a shifter configured to sequentially shift an initiation signal to generate a plurality of transfer activation signals; a valid duration generator configured to define valid durations of the plurality of pieces of parallel data based on a clock and the plurality of transfer activation signals; and an output unit configured to receive the plurality of pieces of parallel data whose valid duration has been defined and to drive an output in response to a piece of data from among the received parallel data whose valid duration has begun.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: November 1, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jinyeong Moon
  • Patent number: 8044833
    Abstract: According to one embodiment, a high speed serializer for multiplexing 2N data inputs, N being a positive integer, comprises one less than 2N multiplexing cells arranged in N stages. The stages are numbered 1 through N, and the output of the Nth stage is a serial transmission and the inputs of the 1st stage are the 2N data inputs. Each stage comprises half as many multiplexing cells as the preceding stage. Additionally, each multiplexing cell comprises a multiplexer that comprises a pair of inputs and an output. 2N-2 of the multiplexing cells in the first stage further comprise a latch, and the output of the latch is coupled to an input of the multiplexer.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: October 25, 2011
    Assignee: Raytheon Company
    Inventor: Jeong-Gyun Shin
  • Patent number: 8013764
    Abstract: In one embodiment, a method and apparatus for shifting the bits of a data word are disclosed. For example, a deserializer according to one embodiment includes an input register bank for capturing serial data comprising n bits, an intermediate register bank, and a strobe mux coupled to an input of the intermediate register bank. An input of the intermediate register bank is coupled to an output of the input register bank. The strobe mux comprises a single multiplexer configured to select a bitslip strobe signal that controls an order in which the n bits of the serial data are captured in the intermediate register bank.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: September 6, 2011
    Assignee: Xilinx, Inc.
    Inventor: John G. O'Dwyer
  • Patent number: 8004433
    Abstract: A semiconductor integrated circuit (10D) for receiving a parallel data signal and a first clock signal and outputting a serial data signal and a second clock signal, wherein a first clock generation circuit (15) produces a third clock signal obtained by multiplying the first clock signal by X/Y. A second clock generation circuit (11) has a variable transmission characteristic, and produces a fourth clock signal obtained by multiplying the third clock signal by N. A parallel/serial conversion section (12) converts the parallel data signal, which has been converted by a scaler (16), to the serial data signal in synchronism with the fourth clock signal. A frequency divider (13) produces a fifth clock signal obtained by dividing a frequency of the fourth clock signal by N. A selector (14) selectively outputs, as the second clock signal, one of the third and fifth clock signals.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: August 23, 2011
    Assignee: Panasonic Corporation
    Inventors: Manabu Kawabata, Ryogo Yanagisawa, Toru Iwata, Hirokazu Sugimoto
  • Patent number: 7994950
    Abstract: A physical layer (PHY) device including a first encoder, a second encoder, and a selector. The first encoder is configured to receive a first data stream at a first data rate, encode the first data stream using a first type of encoding, and output a first encoded data via a plurality of outputs. The second encoder is configured to receive a second data stream at a second data rate, encode the second data stream using a second type of encoding, and output a second encoded data via an output. The selector includes a first set of inputs and a second set of inputs. The first set of inputs is configured to receive the plurality of outputs of the first encoder, and each input of the second set of inputs is configured to receive the output of the second encoder.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: August 9, 2011
    Assignee: Marvell International Ltd.
    Inventors: William Lo, Calvin Fang
  • Patent number: 7990293
    Abstract: A deserializer for converting serial data into at least one parallel data includes a first flip-flop group, a second flip-flop group and a programmable frequency divider. The first flip-flop group includes a plurality of flip-flops connected in series, where the first flip-flop group is controlled by a first clock signal. The second flip-flop group includes a plurality of flip-flops, where the second flip-flop group is controlled by a second clock signal, and the flip-flops of the second flip-flop group are respectively connected to output nodes of the flip-flops of the first flip-flop group. The programmable frequency divider is coupled to each of the flip-flops of the second flip-flop group, and is utilized for receiving a control signal and generating the second clock signal by performing a frequency-dividing operation according to a frequency-dividing factor set by the control signal.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: August 2, 2011
    Assignee: MediaTek Inc.
    Inventor: Yan-Bin Luo
  • Patent number: 7990295
    Abstract: A data transfer apparatus includes a clock generation unit to generate a clock signal, a control unit to output parallel data and a reset signal, and a plurality of transmission units. Each of the plurality of transmission units uses continuous rising edges of a bit clock to sample the reset signal multiple times so that a phase shift of the reset signal between the transmission units is reduced, and the phase of the frequency dividing clock is aligned in each transmission unit.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: August 2, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shuichi Takada
  • Patent number: 7990294
    Abstract: A parallel-serial conversion circuit includes: a plurality of data terminals each receiving a data signal; a selection circuit configured to select at least one of the data signals received through the plurality of data terminals; a first latch circuit configured to latch an output from the selection circuit based on a clock signal; a replica selection circuit configured to select one of a plurality of signals and output the selected signal; and a timing-signal generating circuit configured to generate a timing signal for controlling the selection circuit based on the output from the replica selection circuit, wherein the output from the replica selection circuit is latched based on the clock signal.
    Type: Grant
    Filed: November 17, 2009
    Date of Patent: August 2, 2011
    Assignee: Fujitsu Limited
    Inventors: Yoshiyasu Doi, Hirotaka Tamura
  • Patent number: 7990296
    Abstract: Techniques are provided to serialize and delay parallel input data signals and are particularly useful for low power applications. In one example, a device includes a plurality of data input ports adapted to receive N parallel single-ended input data signals, and a clock input port adapted to receive a clock signal substantially synchronized with the parallel single-ended input data signals. The device also includes a cell adapted to serialize the parallel single-ended input data signals to provide N/2 first serial differential output data signals in response to the clock signal, delay the parallel single-ended input data signals, and serialize the delayed parallel single-ended input data signals to provide N/2 delayed second serial differential output data signals in response to the clock signal. The delayed second serial differential output data signals are delayed relative to the first serial differential output data signals. The device also includes a plurality of output ports.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: August 2, 2011
    Assignee: SMSC Holdings S.a.r.l.
    Inventors: Heng Wang, Hongming An, CongQing Xiong
  • Publication number: 20110181451
    Abstract: A parallel-serial converter includes a converter circuit that converts parallel data into serial data; a first sampling circuit that samples, according to a first clock signal, the serial data output from the converter circuit; a second sampling circuit that samples, according to a second clock signal that is an inverse of the first clock signal, replica data that is synchronized with the serial data; a third sampling circuit that samples, according to plural third signals respectively having different phases, output from the second sampling circuit; and a control circuit that controls sampling timing of the first sampling circuit, based on each output from the third sampling circuit.
    Type: Application
    Filed: January 6, 2011
    Publication date: July 28, 2011
    Applicant: FUJITSU LIMITED
    Inventor: Yukito Tsunoda
  • Patent number: 7982638
    Abstract: A data transmission circuit that converts parallel data signals into a serial data signal to transmit the serial data signal includes a clock generation circuit, an output circuit, and a shift register circuit for securely conducting data communication among internal elements regardless of the improvement in data transfer rate, the increase in manufacturing variance, the variation in power supply voltage and temperature, and the like. The clock generation circuit generates a clock signal. The output circuit is provided to output the serial data signal. The shift register circuit acquires the parallel data signals and sequentially transfers the acquired parallel data signals to the output circuit in a bitwise manner with the use of a shift operation synchronized with the clock signal from the clock generation circuit.
    Type: Grant
    Filed: August 24, 2009
    Date of Patent: July 19, 2011
    Assignee: Fujitsu Limited
    Inventor: Hisakatsu Yamaguchi
  • Patent number: 7982640
    Abstract: A digital signal transmitting apparatus includes an encoder which converts parallel input signals of multiple channels into serial data in a manner synchronized with a first clock signal, and a decoder which converts the serial data into parallel output signals of the multiple channels in a manner synchronized with a second clock signal operating in a manner asynchronous with the first clock signal. The serial data has a different period and a different duty factor corresponding to each combination of the logical values of the parallel input signals of the multiple channels.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: July 19, 2011
    Assignee: TDK Corporation
    Inventors: Reiji Okuno, Takakazu Imai, Takeo Gokita
  • Patent number: 7982639
    Abstract: In order to help convert serial data, which includes extra protocol encoding bits, to parallel data having the protocol bits removed (or at least separated from the actual data), the serial data is at least partially deserialized using a low-speed clock having different frequencies at different times (typically different fractions of a high-speed serial data clock frequency at different times). This enables the partially deserialized data to include blocks of different numbers of the serial data bits. These blocks can be further assembled into groups of blocks having numbers of bits that correlate well with the number of bits in incoming serial data words. These groups can then be easily manipulated (e.g., to identify in them their extra protocol encoding bits). The circuitry can be set up to work with any of several different protocol encoding scheme.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: July 19, 2011
    Assignee: Altera Corporation
    Inventor: Curt Wortman
  • Patent number: 7978108
    Abstract: A CPU outputs digital data from a built-in RAM to a buffer in response to a request from the buffer. The buffer has a FIFO configured of a plurality of stages, each stage of the FIFO is capable of storing one unit (10 bits) of digital data, the buffer as a whole is capable of storing digital data in number of units equivalent to the number of configured stages. A register captures digital data stored inside the buffer by each unit in synchronous with an output control clock. The digital data stored in the register is outputted to a parallel DAC as data for D/A conversion. A WR signal output timer generates a writing control signal having one shot pulse of “L” in synchronous with the output control clock.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: July 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Isao Tottori, Masaru Hagiwara
  • Patent number: 7973682
    Abstract: A data converter includes N analog-to-digital converters (ADCs) to sample multiple analog signals in response to an input clock to produce N signal samples per sample period. For each sample period, the bits of the N signal samples are multiplexed to M sets of multiplexed bits where 1<M<N. There are K bits in each multiplexed data set, where K equals the number of bits per sample multiplied by N then divided by M. A data clock is provided having a data clock frequency that substantially equals the input clock frequency multiplied by K. The M sets of multiplexed bits are serialized and provided to M data ports at the data clock frequency for transfer across the digital interface. This abstract does not limit the scope of the invention as described in the claims.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: July 5, 2011
    Assignee: Samplify Systems, Inc.
    Inventor: Michael V Nanevicz
  • Publication number: 20110157104
    Abstract: A data transmitting device and a flat plate display using the same are disclosed. The data transmitting device includes a clock generator to generate and output a first clock signal and to generate a plurality of second clocks signals having different phases; a serializer to convert parallel image data and a dot clock input at a slow speed to high speed serial data and high speed clock according to the first and second clocks outputted from the clock generator and to output the high speed serial image data and the high speed clock; and a signal converter to convert the serial image data and the high speed clock outputted from the serializer into differential signals and to output the differential signals.
    Type: Application
    Filed: July 28, 2010
    Publication date: June 30, 2011
    Inventors: Hyeong-Won Kang, Jin-Won Chung
  • Publication number: 20110156939
    Abstract: A pulse edge selection circuit includes an input stage which selects and passes one clock from among a plurality of clocks and an output stage which outputs the clock to an edge detection circuit. The output stage has a combination of a plurality of NOR gates and a plurality of NAND gates, which are connected alternately, both the NOR gates and NAND gates having a plurality of input terminals. If the edge detection circuit is a type which detects falling edges of clocks and generates a pulse which rises on the falling edge of a first clock and falls on the falling edge of a second clock, a NOR gate is used as an output gate which outputs the first clock and the second clock. On the other hand, if a pulse is generated on rising edges, a NAND gate is used as an output gate.
    Type: Application
    Filed: November 30, 2010
    Publication date: June 30, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Masaaki Iwane
  • Publication number: 20110156938
    Abstract: A data output circuit is presented. The data output circuit includes: a data serializer and a driver. The data serializer is configured to generate serial data using first parallel data. The driver is configured to drive the serial data to generate output data. The data serializer is also configured to generate the serial data by multiplexing second parallel data generated by changing a power domain of the first parallel data.
    Type: Application
    Filed: July 9, 2010
    Publication date: June 30, 2011
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Dong Uk LEE
  • Patent number: 7952500
    Abstract: A method of encoding data structures using compressed object encodings during serialization. A compressed representation of the data is generated directly while encoding. Serialization means converting a data structure to a string of bytes for external storage or communication.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: May 31, 2011
    Assignee: Tatu Ylonen Oy
    Inventor: Tatu J Ylonen
  • Publication number: 20110122002
    Abstract: In a parallel-serial converter circuit of a multistage configuration, there is formed a clock propagation path so that when multistage connected data converters are operated according to the timing of a clock signal, a reference clock signal or a clock signal in which the reference clock signal has been frequency-converted, is given sequentially to the data converter of the first stage up to the data converter of the final stage. As a result, even in a case where variations occur in power supply voltage, timing deviation of data signals and clock signals input to the data converters of the second and subsequent stages can be suppressed, and parallel-serial conversion of high-speed data signals can be reliably executed.
    Type: Application
    Filed: October 19, 2010
    Publication date: May 26, 2011
    Applicant: FUJITSU LIMITED
    Inventor: Yukito TSUNODA
  • Patent number: 7948407
    Abstract: A high-speed serial interface circuit includes a data receiver circuit, a clock signal receiver circuit, a logic circuit block that includes at least a serial/parallel conversion circuit, a free-running clock signal generation circuit, a clock signal detection circuit, and an output mask circuit. The clock signal detection circuit compares a received clock signal from the clock signal receiver circuit with a free-running clock signal from the free-running clock signal generation circuit to detect whether or not clock signals are transferred through differential clock signal lines. When the clock signal detection circuit has detected that the clock signals are not transferred through the differential clock signal lines, the output mask circuit masks an output signal from the logic circuit block so that the output signal is not transmitted to a circuit in the subsequent stage.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: May 24, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Takemi Yonezawa, Kenichi Oe
  • Publication number: 20110102211
    Abstract: A parallel-to-serial conversion circuit for converting pieces of parallel data into serial data, and a parallel-to-serial converting method thereof include: a shifter configured to sequentially shift an initiation signal to generate a plurality of transfer activation signals; a valid duration generator configured to define valid durations of the plurality of pieces of parallel data based on a clock and the plurality of transfer activation signals; and an output unit configured to receive the plurality of pieces of parallel data whose valid duration has been defined and to drive an output in response to a piece of data from among the received parallel data whose valid duration has begun.
    Type: Application
    Filed: March 4, 2010
    Publication date: May 5, 2011
    Inventor: Jinyeong MOON
  • Publication number: 20110090101
    Abstract: Phase locked loop circuitry operates digitally, to at least a large extent, to select from a plurality of phase-distributed candidate clock signals the signal that is closest in phase to transitions in another signal such as a clock data recovery (“CDR”) signal. The circuitry is constructed and operated to avoid glitches in the output clock signal that might otherwise result from changes in selection of the candidate clock signal. Frequency division of the candidate clock signals may be used to help the circuitry support serial communication at bit rates below frequencies that an analog portion of the phase locked loop circuitry can economically provide. Over-transmission or over-sampling may be used on the transmit side for similar reasons.
    Type: Application
    Filed: December 21, 2010
    Publication date: April 21, 2011
    Inventors: Ramanand Venkata, Chong H. Lee