Surface Condition Patents (Class 356/237.2)
  • Patent number: 9441955
    Abstract: This invention relates to a system and a method for measuring strain of a specimen on a strain measurement system having an image capturing device, a spatial light modulator, a first light source, a second light source and a beam switching controller. The system receives parameters from an input from a user and generates a lenslet pattern to be transmitted to the spatial light modulator. The system transmits a frequency and switching sequence to the beam switching controller to alternate the first and second light sources and activate the image capturing device to capture images. The system receives a first image captured by the image capturing device caused by a beam of the first light source and a second image captured by the image capturing device caused by a beam of the second light source. Based on the first and second images received, the system optimizes the image capturing device.
    Type: Grant
    Filed: March 27, 2015
    Date of Patent: September 13, 2016
    Assignee: NANYANG POLYTECHNIC
    Inventors: Oh Tiong Keng, Koh Wing Onn, Zhu Hui
  • Patent number: 9429525
    Abstract: An optical module for surface inspection includes a first light source unit that illuminates a substrate with first light produced by a first light source and a first beam splitter that changes the path of the first light, a second light source unit that illuminates the substrate with second light polarized in a first direction, a direction of polarization changing unit that illuminates the substrate with the third light polarized in a second direction perpendicular to the first direction, and a detection unit that detects fourth light which is a product of the first light reflecting from the substrate, fifth light which is a product of the second light scattered from the substrate, and sixth light which is a product of the third light scattered from the substrate. The third light is produced by changing the direction of polarization of the second light reflected from the inspected substrate.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: August 30, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myoung-Ki Ahn, Jin-Woo Ahn, Young-Gwon Kim, Tae-Jun Ahn, Tae-Yong Jo, Young Heo
  • Patent number: 9403714
    Abstract: Lithium silicate glass ceramics and glasses containing specific oxides of hexavalent elements are described which crystallize at low temperatures and are suitable in particular as dental materials.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: August 2, 2016
    Assignee: Ivoclar Vivadent AG
    Inventors: Christian Ritzberger, Elke Apel, Wolfram Höland, Volker Rheinberger
  • Patent number: 9404858
    Abstract: A method is provided for the quantitative determination of surface properties, wherein a spatially resolved image of a surface to be analyzed, which contains a large number of measured values, is recorded. In a first method step, the measured values are analyzed in order to determine those surface areas which have a specific physical property. A result value of this physical property is then determined, wherein this result value is characteristic of the values of the physical property of all those surface areas of the image determined by analyzing the image. In addition to the result value, a further value (B) characteristic of the surface is determined and this characteristic value is displayed together with the result value (I).
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: August 2, 2016
    Assignee: BYK-GARDNER GMBH
    Inventors: Peter Schwarz, Konrad Lex
  • Patent number: 9404873
    Abstract: Systems configured to inspect a wafer are provided. One system includes an illumination subsystem configured to illuminate a set of spots on a wafer and a collection subsystem configured to collect light from the set of spots. The collection subsystem separately images the light collected from each of the individual spots onto only a corresponding first detector of a first detection subsystem. The collection subsystem also images the light collected from at least some of the individual spots onto a number of second detectors of a second detection subsystem that is less than a number of spots in the set. Output produced by the first and second detectors can be used to detect defects on the wafer.
    Type: Grant
    Filed: March 2, 2013
    Date of Patent: August 2, 2016
    Assignee: KLA-Tencor Corp.
    Inventors: Stephen Biellak, Mehdi Vaez-Iravani
  • Patent number: 9401174
    Abstract: Systems and method relating generally to data processing, and more particularly to systems and methods for utilizing multiple data streams for data recovery from a storage device.
    Type: Grant
    Filed: December 1, 2014
    Date of Patent: July 26, 2016
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventors: James P. Howley, Suharli Tedja, Adam Lipsey, Daniel James Dolan, Jr., David W. Kelly
  • Patent number: 9395299
    Abstract: A method for determining the bidirectional reflectance distribution function of a generally plane rough surface of a specimen made of a given material includes acquiring a refractive index of the material, the spectrum of total reflectance measured on the specimen, and the geometry of the profile of the surface. The geometry is determined by: detecting the height and approximating the surface of the specimen as a distribution of plane triangular microfaces. For each direction of incidence of the light on the surface of the specimen and for each direction of observation there is determined the angular orientation of the microfaces contributing to reflecting in a specular way the light incident in the direction of observation. The Fresnel factor defining the specular reflectance of each microface is determined. The total BRDF is determined as the sum of a Lambertian component and of a specular component.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: July 19, 2016
    Assignee: C.R.F. SOCIETA CONSORTILE PER AZIONI
    Inventors: Stefano Bernard, Giuseppe Varalda
  • Patent number: 9389166
    Abstract: Disclosed are apparatus and methods for inspecting or measuring a specimen. An incident beam is directed across a plurality of consecutive scan portions of a specimen so that an output beam profile from each scan portion is consecutively collected by a photomultiplier tube (PMT), and the scan portions include at least one or more first scan portions and a next scan portion that is scanned after the one or more first scan portions. After or while the incident beam is directed to the one or more first scan portions of the specimen, an output signal for each first scan portion is obtained based on the output beam profile that is collected by the PMT for each first scan portion. An expected output beam profile for the next scan portion is determined based on the output signal that is obtained for each one or more first scan portions.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: July 12, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Ralph C. Wolf, Grace H. Chen, Kai Cao, Jamie M. Sullivan, Paul J. Donders, Derek C. Mackay
  • Patent number: 9390494
    Abstract: With an optical inspection tool, images of a plurality of patches of a plurality of dies of a reticle are obtained. The patch images are obtained so that each patch image is positioned relative to a same reference position within its respective die as another die-equivalent one of the patch images in each the other ones of the dies. For each patch image, an integrated value is determined for an image characteristic of sub-portions of such patch image. For each patch image, a reference value is determined based on the integrated values of the patch image's corresponding die-equivalent patch images. For each patch image, a difference between that patch image's integrated value and an average or median value of its die-equivalent patch images is determined whereby a significant difference indicates a variance in a pattern characteristic of a patch and an average or median pattern characteristic of its die-equivalent patches.
    Type: Grant
    Filed: December 10, 2013
    Date of Patent: July 12, 2016
    Assignee: KLA-Tencor Corporation
    Inventor: Carl E. Hess
  • Patent number: 9389349
    Abstract: A computer-based method for inspecting a wafer, including: storing, in a memory element for at least one computer, computer readable instructions; detecting a first light beam rotating in a first spiral about a first central axis; and executing, using a processor for the at least one computer, the computer readable instructions to generate, using the detected first light beam, an image including at least one shape, determine an orientation of the at least one shape or a size of the at least one shape, and calculate a depth of a defect in the wafer according to the orientation or the size.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: July 12, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Pavel Kolchin, Mikhail Haurylau, Robert Danen
  • Patent number: 9372158
    Abstract: A laser profiler includes: a laser diode mounted within a substantially cylindrical housing; a conical mirror positioned upstream from the laser diode within the cylindrical housing; and a transparent shroud positioned to surround the conical mirror. A beam produced by the laser diode is directed to the conical mirror and is reflected therefrom at 360° through the transparent shroud to produce a ring of light.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: June 21, 2016
    Assignee: Jennison Corporation
    Inventors: Mark P. Johnsen, Theodore J. Cooper
  • Patent number: 9310319
    Abstract: A substrate inspection apparatus for inspecting a substrate having an irregular concave-convex surface for scattering lights, comprises a first irradiation system which irradiates the substrate with a first detection light; a first detection system which detects any luminance unevenness from the entire concave-convex surface of the substrate irradiated with the first detection light; a second irradiation system which irradiates the substrate with a second detection light having a wavelength different from that of the first detection light; and a second detection system which detects any defect of the concave-convex surface of the substrate irradiated with the second detection light. The luminance unevenness and a pattern defect of the substrate having the irregular concave-convex surface can be inspected effectively at low cost.
    Type: Grant
    Filed: March 24, 2015
    Date of Patent: April 12, 2016
    Assignee: JX NIPPON OIL & ENERGY CORPORATION
    Inventors: Yusuke Sato, Suzushi Nishimura
  • Patent number: 9297727
    Abstract: A method for using differential imaging for applications involving TEM samples by allowing operators to take multiple images during a procedure involving a focused ion beam procedure and overlaying the multiple images to create a differential image that clearly shows the differences between milling steps. The methods also involve generating real-time images of the area being milled and using the overlays of the differential images to show small changes in each image, and thus highlight the ion beam milling location. The methods also involve automating the process of creating differential images and using them to automatically mill subsequent slices.
    Type: Grant
    Filed: October 29, 2014
    Date of Patent: March 29, 2016
    Assignee: FEI Company
    Inventor: Alexander Buxbaum
  • Patent number: 9291575
    Abstract: Systems and methods for inspecting a wafer are provided. One system includes an illumination subsystem configured to illuminate the wafer; a collection subsystem configured to collect light scattered from the wafer and to preserve the polarization of the scattered light; an optical element configured to separate the scattered light collected in different segments of the collection numerical aperture of the collection subsystem, where the optical element is positioned at a Fourier plane or a conjugate of the Fourier plane of the collection subsystem; a polarizing element configured to separate the scattered light in one of the different segments into different portions of the scattered light based on polarization; and a detector configured to detect one of the different portions of the scattered light and to generate output responsive to the detected light, which is used to detect defects on the wafer.
    Type: Grant
    Filed: November 2, 2014
    Date of Patent: March 22, 2016
    Assignee: KLA-Tencor Corp.
    Inventors: Guoheng Zhao, Jenn-Kuen Leong, Mehdi Vaez-Iravani
  • Patent number: 9291574
    Abstract: A defect inspection method and device for irradiating a linear region on a surface-patterned sample mounted on a table, with illumination light from an inclined direction to the sample, next detecting in each of a plurality of directions an image of the light scattered from the sample irradiated with the illumination light, then processing signals obtained by the detection of the images of the scattered light, and thereby detecting a defect present on the sample; wherein the step of detecting the scattered light image in the plural directions is performed through oval shaped lenses in which elevation angles of the optical axes thereof are different from each other, within one plane perpendicular to a plane formed by the normal to the surface of the table on which to mount the sample and the longitudinal direction of the linear region irradiated with the irradiation light.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: March 22, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Shunichi Matsumoto, Atsushi Taniguchi, Toshifumi Honda, Yukihiro Shibata, Yuta Urano
  • Patent number: 9285296
    Abstract: A system for stand-off inspection comprising local positioning system hardware and a nondestructive evaluation instrument supported by a pan-tilt mechanism. The system further comprises a computer system that is programmed to perform the following operations: (a) directing the local positioning system hardware toward an area of a surface on a target object by control of the pan-tilt mechanism; (b) activating the local positioning system hardware to acquire an image; (c) processing the image to determine whether an anomaly is present in the area; (d) if an anomaly is present, determining coordinates of a position of the anomaly in a coordinate system of the target object; and (e) directing the nondestructive evaluation instrument toward a position corresponding to the coordinates. Optionally, the computer system is further programmed to measure one or more characteristics of the anomaly.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: March 15, 2016
    Assignee: The Boeing Company
    Inventors: Gary E. Georgeson, James J. Troy, Scott W. Lea
  • Patent number: 9255891
    Abstract: Methods and systems for reshaping the beam intensity distribution of an illumination light supplied to a specimen under inspection are presented. A scanning surface inspection system includes a beam shaping element that flattens the beam intensity distribution of a beam of light generated by an illumination source. The reshaped illumination light is directed to the wafer surface over an illumination spot. With a flattened beam intensity distribution, the incident beam power can be increased without the beam intensity exceeding the damage threshold of the wafer at any particular location. In addition, the illumination spot is shaped by the beam shaping element to have a variable beam width in a direction parallel to the inspection track. The location of a defect within an inspection area having a variable beam width is estimated based on an analysis of the output of the detector.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: February 9, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Christian Wolters, Zhiwei Xu, Juergen Reich
  • Patent number: 9255793
    Abstract: A defect inspection device includes an irradiation unit for simultaneously irradiating different regions on a sample with illumination light under different optical conditions, the sample being predesigned to include patterns repeatedly formed thereupon, wherein the patterns are to be formed in the same shape; a detection unit for detecting, for each of the different regions, a beam of light reflected from each region irradiated with the illumination light; a defect candidate extraction unit for extracting defect candidates under the different optical conditions for each of the different regions, by processing detection signals corresponding to the reflected light which is detected; a defect extraction unit for extracting defects by integrating the defect candidates extracted under the different optical conditions; and a defect classifying unit for calculating feature quantities of the extracted defects and classifies the defects according to the calculated feature quantities.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: February 9, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yukihiro Shibata, Toshifumi Honda, Taketo Ueno, Atsushi Taniguchi
  • Patent number: 9240356
    Abstract: A surface inspection apparatus includes: an irradiation unit; a detection unit configured to detect a first detection signal according to a first light beam and a second detection signal according to a second light beam; a providing unit which is configured to provide a first reference data and a second reference data; and a determination unit which is configured to determine a processing condition of the pattern in the substrate as an inspection object substrate, based on consistency between the first detection signal and the first reference data, and consistency between the second detection signal and the second reference data.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: January 19, 2016
    Assignee: NIKON CORPORATION
    Inventors: Kazuhiko Fukazawa, Yoshihiko Fujimori, Shinsuke Takeda
  • Patent number: 9217713
    Abstract: A system and method is disclosed for detecting defects in the surface of a workpiece such as a fiberglass or composite part. A light source is positioned to direct light at the workpiece at an oblique angle with respect to the surface of the workpiece. At least one camera is positioned to detect light reflected from the workpiece and to generate a light signal corresponding to the reflected light. A polarizing lens is positioned between each of the at least one cameras and the workpiece. A processor is coupled to each of the at least one cameras to receive the corresponding light signals. The processor is programmed to process the light signals to detect any defects in the surface of the workpiece based on relative magnitudes of the received light signal. A video display and a printer are preferably coupled to the processor to show any detected defects.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: December 22, 2015
    Assignee: The Boeing Company
    Inventors: Morteza Safai, Ronald G. Turner
  • Patent number: 9201019
    Abstract: Provided herein is an apparatus, including a photon emitting means for emitting photons onto surface edges of an article, a photon detecting means for detecting photons scattered from particles on the surface edges of the article, and a mapping means for mapping a particle or a defect of the surface of the article.
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: December 1, 2015
    Assignee: Seagate Technology LLC
    Inventors: David M. Tung, Joachim W. Ahner
  • Patent number: 9194804
    Abstract: A method includes performing a first probing on a sample integrated circuit structure to generate a first Raman spectrum. During the first probing, a first laser beam having a first wavelength is projected on the sample integrated circuit structure. The method further includes performing a second probing on the sample integrated circuit structure to generate a second Raman spectrum, wherein a Tip-Enhanced Raman Scattering (TERS) method is used to probe the sample integrated circuit structure. During the second probing, a second laser beam having a second wavelength different from the first wavelength is projected on the sample integrated circuit structure. A stress in a first probed region of the sample integrated circuit structure is then from the first Raman spectrum and the second Raman spectrum.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: November 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Liang-Gi Yao, Yasutoshi Okuno, Wei-Shan Hu, Yusuke Oniki, Ling-Yen Yeh, Clement Hsingjen Wann
  • Patent number: 9196550
    Abstract: A surface inspection apparatus includes: an irradiation unit; a detection unit configured to detect a first detection signal according to a first light beam and a second detection signal according to a second light beam; a providing unit which is configured to provide a first reference data and a second reference data; and a determination unit which is configured to determine a processing condition of the pattern in the substrate as an inspection object substrate, based on consistency between the first detection signal and the first reference data, and consistency between the second detection signal and the second reference data.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: November 24, 2015
    Assignee: NIKON CORPORATION
    Inventors: Kazuhiko Fukazawa, Yoshihiko Fujimori, Shinsuke Takeda
  • Patent number: 9163987
    Abstract: A system for defect detection and photoluminescence measurement of a sample may include a radiation source configured to target radiation to the sample. The system may also include an optics assembly positioned above the sample to receive a sample radiation. The system may also include a filter module configured to receive the sample radiation collected by the optics assembly. The filter module may separate the sample radiation collected by the optics assembly into a first radiation portion and a second radiation portion. The system may also include a defect detection module configured to receive the first radiation portion from the filter module. The system may further include a photoluminescence measurement module configured to receive the second radiation portion from the filter module. The defect detection module and the photoluminescence measurement module may be configured to receive the respective first radiation portion and the second radiation portion substantially simultaneously.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: October 20, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Roman Sappey, Steven W. Meeks
  • Patent number: 9157848
    Abstract: A method and system is provided to detect deformations on a sheet metal panel. The method includes swiping the sheet metal panel's surface through a screening material to screen the deformations present on the sheet metal panel's surface, thereby establishing screened deformations. Further, rubbing an area around the screened deformations through a stone material determines the size of the screened deformations. Finally, measuring the size of screened deformations according to a measuring rule establishes a nature of the screened deformation.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: October 13, 2015
    Assignee: FORD GLOBAL TECHNOLOGIES, LLC
    Inventors: Carlos Gonzalez Valencia, Ana Belen Flex Villalba
  • Patent number: 9134602
    Abstract: Any defects in the reflective coating or absorber layer of an EUV mask are problematic in transferring a pattern of the EUV mask to a wafer since they produce errors in integrated circuit patterns on the wafer. In this regard, a method of manufacturing an EUV mask is provided according to various embodiments of the present disclosure. According to the method of the present disclosure, the defects in the EUV mask can be detected and repaired with an defect-free multilayer body. A substantially defect-free EUV mask can be made in a cost benefit way accordingly, so as to overcome disadvantages mentioned above.
    Type: Grant
    Filed: July 29, 2013
    Date of Patent: September 15, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Yuan-Chih Chu
  • Patent number: 9134253
    Abstract: According to one embodiment, an inspecting apparatus is provided with a contact position obtaining unit and an inspection status determining unit. The contact position obtaining unit obtains, by using an inspection result of whether there is a particle on an inspection surface of a holding object and coordinate information of a convex portion in an electrostatic chuck holding mechanism, a contact position of the inspection surface with the convex portion. The inspection status determining unit determines whether a size of the particle adhering to a contact region with the convex portion of the inspection surface is within an allowable range by using a first determining criterion value and determines whether the size of the particle adhering to a non-contact region with the convex portion of the inspection surface is within an allowable range by using a second determining criterion value larger than the first determining criterion value.
    Type: Grant
    Filed: January 29, 2014
    Date of Patent: September 15, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaru Suzuki, Hiroyuki Mizuno
  • Patent number: 9135311
    Abstract: A content unification system is described herein that aligns related content from various sources into one unified stream. The system leverages tagging (e.g., hashtags or other added metadata associated with content) to filter and connect related content across sites, formats, and sources. One component of the system is a user-friendly and customizable “dash board” view of the various topics, called a “tagboard”. Tagboards can be quickly and easily created by users and can be embedded on any website. Users can interact with various content sources such as blogs, forums, or services without leaving the tagboard they are viewing. The content unification system provides users the tools to make the web efficient, increase user interaction, and increase the signal to noise ratio. The system also allows site owners and publishers to monetize their traffic better by directing advertisements to their content in real time.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: September 15, 2015
    Assignee: Tagboard, Inc.
    Inventors: Joshua E. Decker, Sean Michael Sperte, Timothy D. Shimotakahara
  • Patent number: 9097686
    Abstract: This optical inspection device has: a line sensor on which channels are arranged; a moving means for moving a wafer mounted on a stage relative to the line sensor; a stage position detection means for detecting the on-stage positions of pseudo-defects in images formed on the channels as pseudo-defect stage coordinates, said coordinate management wafer being a wafer on which one pseudo-defect die is formed per row and column of a matrix of dies and each pseudo-defect die has a plurality of pseudo-defects formed in a line in the columnar direction; a coordinate transformation means for transforming the pseudo-defect stage coordinates into pseudo-defect die coordinates; a difference computation means for computing the differences of the pseudo-defect die coordinates from design coordinates; and a characteristic pattern acquisition means for obtaining a coordinate error characteristic pattern in which the differences from the pseudo-defect stage coordinates increase or decrease along a straight line.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: August 4, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yoshio Bamba, Yukihisa Mohara, Kowa Tabei
  • Patent number: 9097685
    Abstract: An illumination module that may include a LED driver; a group of light emitting diodes (LEDs) that comprises at least one LED; the group of LED is coupled to the LED driver; wherein the LED driver is arranged to activate the group of LEDs by driving a high current short duration driving signal; and wherein the group of LEDs is arranged to emit at least one light pulse in response to the high current short duration driving signal.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: August 4, 2015
    Assignee: CAMTEK LTD.
    Inventors: Amnon Menachem, Yossi Cherbis, Arnon Ben Natan
  • Patent number: 9081303
    Abstract: In a method of determining the focus of a lithographic apparatus used in a lithographic process on a substrate, the lithographic process is used to form a structure on the substrate, the structure having at least one feature which has an asymmetry in the printed profile which varies as a function of the focus of the lithographic apparatus on the substrate. A first image of the periodic structure is formed and detected while illuminating the structure with a first beam of radiation. The first image is formed using a first part of non-zero order diffracted radiation. A second image of the periodic structure is formed and detected while illuminating the structure with a second beam of radiation. The second image is formed using a second part of the non-zero order diffracted radiation which is symmetrically opposite to the first part in a diffraction spectrum.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: July 14, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Hugo Augustinus Joseph Cramer, Arie Jeffrey Den Boef, Henricus Johannes Lambertus Megens, Hendrik Jan Hidde Smilde, Adrianus Johannes Hendrikus Schellekens, Michael Kubis
  • Patent number: 9070590
    Abstract: Methods and apparatus for heat-treating a workpiece are disclosed. An illustrative method includes measuring deformation of a workpiece during heat-treating thereof, and taking an action in relation to the heat-treating of the workpiece, in response to the measuring of the deformation of the workpiece. The workpiece may include a semiconductor wafer. Taking an action may include applying a deformation correction to a temperature or reflectivity measurement of the wafer during thermal processing, or may include modifying the heat-treating of the wafer, for example.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: June 30, 2015
    Assignee: Mattson Technology, Inc.
    Inventors: David Malcolm Camm, Joseph Cibere, Greg Stuart, Steve McCoy
  • Patent number: 9063097
    Abstract: A method for inspecting a manufactured product includes applying a first test regimen to the manufactured product to identify product defects. The first test regimen produces a first set of defect candidates. The method further includes applying a second test regimen to the manufactured product to identify product defects. The second test regimen produces a second set of defect candidates, and the second test regimen is different from the first test regimen. The method also includes generating a first filtered defect set by eliminating ones of the first set of defect candidates that are not indentified in the second set of defect candidates.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: June 23, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Biow-Hiem Ong, Chien-Hung Lai, Chih-Chiang Tu, Jong-Yuh Chang, Kuang-Yu Liu
  • Patent number: 9064922
    Abstract: A substrate inspection apparatus for detecting a condition of an EBR line at a substrate edge, comprising a turntable for rotating a substrate having a film coated thereon, a light irradiator and a photoelectric converter that receives specularly reflected light from the substrate and outputs a captured image signal. A two-dimensional image is generated by adding detection values of electrical signals corresponding to one radial scan from a center of the substrate for one turn of a rotator, and a changing point is judged using a judgment band set along one direction of the two-dimensional image.
    Type: Grant
    Filed: January 6, 2012
    Date of Patent: June 23, 2015
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Taigo Nakajima, Kunio Ueta, Kazutaka Taniguchi
  • Publication number: 20150146193
    Abstract: An optical metrology device is capable of detection of any combination of photoluminescence light, specular reflection of broadband light, and scattered light from a line across the width of a sample. The metrology device includes a first light source that produces a first illumination line on the sample. A scanning system may be used to scan an illumination spot across the sample to form the illumination line. A detector spectrally images the photoluminescence light emitted along the illumination line. Additionally, a broadband illumination source may be used to produce a second illumination line on the sample, where the detector spectrally images specular reflection of the broadband illumination along the second illumination line. The detector may also image scattered light from the first illumination line. The illumination lines may be scanned across the sample so that all positions on the sample may be measured.
    Type: Application
    Filed: November 26, 2013
    Publication date: May 28, 2015
    Applicant: Nanometrics Incorporated
    Inventor: Andrzej Buczkowski
  • Publication number: 20150146198
    Abstract: A device for spraying a liquid for use in dye penetration inspection into an inner cavity of a workpiece for making a turbine engine part, the device including a workpiece support, a manifold for spraying the inspection liquid into the inner cavity, a manifold for sucking up and discharging the sprayed inspection liquid, and a mechanism for tilting at least a portion of the support from a substantially horizontal position to an inclined position in which the workpiece is inclined so that the inspection liquid sprayed into the cavity flows under gravity to a zone from which it is sucked up.
    Type: Application
    Filed: June 28, 2013
    Publication date: May 28, 2015
    Applicant: SNECMA
    Inventors: Josiane Milliot, Yves Chucherko, Jean-Michel Philippe
  • Patent number: 9036142
    Abstract: Provided herein is an apparatus, including a photon emitting means for emitting photons onto a surface of an article, a photon detecting means for detecting photons scattered from features in the surface of the article; and a mapping means for mapping the features in the surface of the article, wherein the apparatus is configured to process more than one article every 100 seconds.
    Type: Grant
    Filed: May 9, 2013
    Date of Patent: May 19, 2015
    Assignee: Seagate Technology LLC
    Inventors: Joachim W. Ahner, S. Keith McLaurin
  • Patent number: 9036153
    Abstract: An apparatus for testing the reflectivity of a material under test includes a rotating carriage, a light source, and a light detector. At least two sample units are mountable to the rotating carriage. Each sample unit includes a planar surface disposed under a portion of a hemispherical surface. The light source is mounted on a pivoting boom and generates light. The light detector is mounted to measure optical power of the light emitted from the light source and reflected from a selected one of the at least two sample units. The pivoting boom and the rotating carriage rotate through different angular positions to obtain reflectance signatures as a function of incident angles for the at least two sample units.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: May 19, 2015
    Assignee: Google Inc.
    Inventors: Anurag Gupta, Amber M. Luttmann, Michael R. Sears, Evan M. Richards
  • Patent number: 9036141
    Abstract: A surface inspection apparatus includes a blocking unit included in a subsequent processing unit that groups data items into having an arbitrary number of data items. The subsequent processing unit acquires a data item from each of the blocks. The blocking unit changes, in accordance with an instruction transmitted from a state monitoring unit, the number of data items to be blocked. A threshold processing unit acquires data items from the blocking unit that have values larger than a threshold, and transmits the data items to a memory. The state monitoring unit monitors an available capacity of the memory. When the state monitoring unit detects a reduction in the available capacity of the memory, it causes the blocking unit to increase the number of data items to be blocked into each of the blocks so that data does not overflow from the memory.
    Type: Grant
    Filed: June 19, 2012
    Date of Patent: May 19, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Terumi Obuchi, Hiroshi Kikuchi, Yuji Inoue, Nobuhiro Obara, Kazuo Takahashi
  • Patent number: 9030656
    Abstract: Disclosed is an inspection device for inspecting deformation of a substrate holding member of a substrate transport apparatus. The substrate holding member is moved in the forward-and-backward direction relative to the transport base to pass across a light path of the detection light formed by an optical detection unit. The position, with respect to a direction transverse to the forward-and-backward direction, of the substrate holding member is detected based on a detection signal of the optical detection unit. Based on a correlation data expressing the relationship between a first parameter indicative of a change of a position of the substrate holding member with respect to the forward-and-backward direction and a second parameter indicative of the change of the position of the substrate holding member with respect to the direction transverse to the forward-and-backward direction, whether or not deformation occurs in the substrate holding member is judged.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: May 12, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hideki Kajiwara, Junnosuke Maki, Suguru Enokida
  • Patent number: 9025165
    Abstract: Of two pairs of biaxial goniometers and a uniaxial straight-ahead stage, one pair of biaxial goniometers and the uniaxial straight-ahead stage are subjected to fully-closed feedback control (follow-up control) under which output from a QPD is directly input into an axis drive motor, and the remaining pair of biaxial goniometers are subjected to semi-closed feedback control (constant-value control), encoder outputs on all the axes and QPD output are acquired simultaneously, measurement point coordinates and normal vectors derived from the encoder outputs are corrected with the QPD output, thereby eliminating influence of steady-state deviation in a goniometer control system.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: May 5, 2015
    Assignee: Osaka University
    Inventors: Katsuyoshi Endo, Junichi Uchikoshi, Yasuo Higashi
  • Publication number: 20150116698
    Abstract: In a method of inspecting a surface of a substrate, a first surface image of the substrate before loaded into a process chamber may be obtained. The first surface image may be processed to detect a defect on the surface of the substrate. Thus, the surfaces of all of the substrate may be inspected during a process may be performed without transferring the substrates.
    Type: Application
    Filed: August 15, 2014
    Publication date: April 30, 2015
    Inventors: Byung-Bok KANG, Seok-Min KANG, Bon-Ok KOO, Kyoung-Hwan KIM, Myung-Woo KIM, In-Gi KIM, Hyun-Chul KIM, Sung-Ki ROH, Gyung-Jin MIN, Eun-Seok LEE, Jin-Suk HONG
  • Patent number: 9019500
    Abstract: A system for differentiating between oil spots and non-oil spots in a wrapped article comprising a web and a wrapped material. The system includes a heat source that applies heat to a wrapped article for a predetermined amount of time when the wrapped article is placed in a sample area on a surface that is arranged at a predetermined distance away from a heating element of the heat source; and a light source that provides backlighting to the web of the wrapped article when the web has been separated from the wrapped material and placed on a viewing surface of the light source. A method for differentiating between oil spots and non-oil spots in wrapped articles and a field test kit are also provided.
    Type: Grant
    Filed: December 28, 2012
    Date of Patent: April 28, 2015
    Assignee: Altria Client Services Inc.
    Inventors: Narendra K. Meruva, Christopher B. McFarlane, Jennifer H. Smith
  • Patent number: 9019490
    Abstract: A data processing and controlling portion calculates the amounts of coordinate deviations between artificial defects on a standard sample and detected defects on an inspected sample, checks the sensitivity (instrumental sensitivity (luminance, brightness, or the like)), and proceeds to execution of hardware corrections. If the coordinate deviation is less than a certain value, software corrections are carried out. In the case of the software corrections, coordinate corrections are made for the whole standard sample. The amounts of coordinate deviations are computed and checked. If the amounts of coordinate deviations are outside a tolerance, coordinate corrections are made for each region obtained by dividing the standard sample.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: April 28, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Katsuya Suzuki, Takahiro Jingu
  • Patent number: 9012875
    Abstract: A method and an inspection system that exhibiting speckle reduction characteristics includes a light source arranged to generate input light pulses, and diffuser-free speckle reduction optics that include a beam splitter, for splitting an input light pulse from the light source into multiple light pulses that are oriented at angles in relation to each other when exiting the beam splitter, and at least one optical element for directing the multiple light pulses to impinge on an inspected object at different angles.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: April 21, 2015
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Amir Shoham, Haim Feldman, Doron Shoham
  • Patent number: 9012846
    Abstract: Estimating reflectance of a surface adjacent a handheld electronic device having a orientation sensor and a light sensor, including estimating a location of the handheld electronic device relative to the surface in dependence on information from the orientation sensor; measuring light from the surface with the light sensor; and estimating a reflectance of the surface in dependence on the estimated location and measured light.
    Type: Grant
    Filed: November 23, 2012
    Date of Patent: April 21, 2015
    Assignee: BlackBerry Limited
    Inventor: Nazih Almalki
  • Patent number: 9001326
    Abstract: A system that incorporates teachings of the present disclosure may include, for example, a method for generating from a light source a light signal operating in a region of the light spectrum, modifying the light signal with a first polarization device having a first polarization state to generate a polarized light signal directed to a target, modifying a substantially specular reflection and a substantially diffused reflection of the polarized light signal generated from the target with a second polarization device having a second polarization state to generate mixed polarized light signals having a mixed polarization state, and adjusting the mixed polarization state to modify an observable range of subsurfaces of the target. Other embodiments are disclosed.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: April 7, 2015
    Assignee: Welch Allyn, Inc.
    Inventor: Ervin Goldfain
  • Patent number: 8997258
    Abstract: A microscope probe includes a substrate; an optical resonator disposed on the substrate and including an optical resonance property; a displacement member disposed on the substrate and separated from the optical resonator, the displacement member including: a first end disposed distal to the optical resonator; and a second end disposed proximate to the optical resonator; and a coupling member disposed on the substrate and connecting the displacement member to the substrate, wherein the first end is configured to probe a sample and to be displaced in response to a condition of the sample, the displacement member is configured to communicate displacement of the first end to the second end, and the second end is configured to change the optical resonance property in response to displacement of the second end.
    Type: Grant
    Filed: May 23, 2014
    Date of Patent: March 31, 2015
    Assignees: National Institute of Standards and Technology, University of Maryland, College Park
    Inventors: Vladimir Aksyuk, Kartik Srinivasan, Houxun Miao, Ivo W. Rangelow, Thomas Michels
  • Patent number: 8988675
    Abstract: A reflection type optical sensor that detect a surface condition of a moving body and that is used for an image generation apparatus which forms images on a recording media includes a light-emitting device which has a plurality of light emitter systems including at least two light-emitting members and a light-emitting optical system having a plurality of light-emitting lenses corresponding to a plurality of the light emitter systems and guiding light emitted from the light emitter systems to the moving body and a light-receiving device which has a light receiver system including at least two light-receiving members and a light-receiving optical system having light-receiving lenses corresponding to the at least two light-receiving members and guiding light reflected by the moving body to the light receiver system. The image generation apparatus has further a surface condition judging device in addition to the reflection type optical sensor.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: March 24, 2015
    Assignee: Ricoh Company, Ltd.
    Inventors: Hidemasa Suzuki, Koji Masuda, Susumu Momma
  • Patent number: 8986913
    Abstract: According to one embodiment, a method of inspecting a mask substrate for defects, includes acquiring a defocus image of a partial region of a mask substrate using a dark-field optical system, acquiring a just-focus image of the partial region using the dark-field optical system, generating a set composed of first signals obtained from the defocus image and having signal intensities equal to or higher than a first threshold value, excluding, from the set, the first signals pertaining to parts in which signal intensities of signals obtained from the just-focus image are equal to or higher than a second threshold value, determining an inspection threshold value for signal intensities, on the basis of the first signals not excluded from, and remaining in, the sea.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 24, 2015
    Assignees: Kabushiki Kaisha Toshiba, Dai Nippon Printing Co., Ltd.
    Inventors: Takeshi Yamane, Tsuneo Terasawa