Lithography Patents (Class 378/34)
  • Patent number: 9261792
    Abstract: Various non-planar reflective lithography masks, systems using such lithography masks, and methods are disclosed. An embodiment is a lithography mask comprising a transparent substrate, a reflective material, and a reticle pattern. The transparent substrate comprises a curved surface. The reflective material adjoins the curved surface of the transparent substrate, and an interface between the reflective material and the transparent substrate is a reflective surface. The reticle pattern is on a second surface of the transparent substrate. A reflectivity of the reticle pattern is less than a reflectivity of the reflective material. Methods for forming similar lithography masks and for using similar lithography masks are disclosed.
    Type: Grant
    Filed: July 25, 2014
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hsuan Liu, Jen-Pan Wang
  • Patent number: 9223197
    Abstract: A lithography process in a lithography system includes loading a mask having multiple mask states and having a mask pattern consisting of a plurality of polygons and a field. Different mask states are assigned to adjacent polygons and the field. The lithography process further includes configuring an illuminator to generate an illumination pattern on an illumination pupil plane of the lithography system; configuring a pupil filter on a projection pupil plane of the lithography system with a filtering pattern determined according to the illumination pattern; and performing an exposure process to a target with the illuminator, the mask, and the pupil filter. The exposure process produces diffracted light and non-diffracted light behind the mask and the pupil filter removes most of the non-diffracted light.
    Type: Grant
    Filed: May 28, 2014
    Date of Patent: December 29, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shinn-Sheng Yu, Yen-Cheng Lu, Anthony Yen
  • Patent number: 9195150
    Abstract: A lithographic apparatus has a support that is provided with burls for holding an object. The support has been fabricated with a lithographic manufacturing method, e.g., a MEMS-technology, so as to create burls whose orientations or positions are individually electrically controllable.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: November 24, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Theodorus Petrus Maria Cadee, Vadim Yevgenyevich Banine, Koen Jacobus Johannes Maria Zaal, Ramin Badie, Harmeet Singh
  • Patent number: 9176390
    Abstract: A method includes moving a correction device into a neutral position; subsequently ascertaining, for a given arrangement of imaging light channels in the illumination optical unit of the projection exposure apparatus, intensity distributions of at least some of the individual imaging light partial beams along a transverse coordinate transverse to a displacement direction of an object to be imaged; subsequently ascertaining, in dependence on the transverse coordinate, an actual variation of actual values of structure image sizes of object structures in an image field, onto which the object is imaged; and subsequently specifying a predetermined variation of the structure image sizes over the transverse coordinate and displacing correction elements of the correction device, starting from the neutral position, such that the actual variation matches the predetermined variation within a tolerance bandwidth. The method can provide improved imaging results as compared to known uniformity adjustment.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: November 3, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Michael Layh, Markus Deguenther
  • Patent number: 9117631
    Abstract: Method of handling a substrate support structure for clamping a substrate on a surface thereof in a lithography system. First, a substrate support structure adapted to absorb energy from a substrate clamped thereon and a substrate are provided. The substrate is clamped on a surface of the substrate support structure. The substrate support structure with the substrate clamped thereon is transferred to a lithography apparatus, in which a lithographic process is performed on the substrate clamped onto the substrate support structure. The substrate support structure with the substrate clamped thereon is then removed from the lithography system. The substrate is removed from the substrate support structure, and the substrate support structure is conditioned by removing energy stored in the substrate support structure before providing a new substrate onto the substrate support structure.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: August 25, 2015
    Assignee: MAPPER LITHOGRAPHY IP B.V.
    Inventor: Hendrik Jan De Jong
  • Patent number: 9113538
    Abstract: A structure for discharging an extreme ultraviolet mask (EUV mask) is provided to discharge the EUV mask during the inspection by an electron beam inspection tool. The structure for discharging an EUV mask includes at least one grounding pin to contact conductive areas on the EUV mask, wherein the EUV mask may have further conductive layer on sidewalls or/and bottom. The inspection quality of the EUV mask is enhanced by using the electron beam inspection system because the accumulated charging on the EUU mask is grounded.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: August 18, 2015
    Assignee: HERMES MICROVISION, INC.
    Inventors: You-Jin Wang, Chiyan Kuan, Chung-Shih Pan
  • Patent number: 9091936
    Abstract: An illumination system of a microlithographic projection exposure apparatus includes a light source configured to produce projection light that propagates along a light path. The illumination system also includes a beam deflection array of reflective or transmissive beam deflection elements. Each beam deflection element is configured to deflect an impinging light beam by a deflection angle that is variable in response to a control signal. The beam deflection array is used in a first mode of operation to determine an irradiance distribution in the system pupil surface. The system further includes an optical raster element, in particular a diffractive optical element, which is used in a second mode of operation to determine the irradiance distribution in the system pupil surface. An exchange unit is configured to hold the optical raster element in the second mode of operation such that it is inserted into the light path.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: July 28, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Dieter Bader
  • Patent number: 9081311
    Abstract: An apparatus, in an embodiment, having a patterning device support including a first planar element having a first flow-restricting surface; a second planar element including a second flow-restricting surface facing the first flow-restricting surface; a support driver to linearly move the support along a certain direction relative to the second planar element, wherein the first and/or second flow-restricting surface has one or more projections and/or recesses between the first and second flow-restricting surfaces, and wherein the projection and/or recess on the first and/or second flow-restricting surface is arranged to provide a flow resistance, per unit width of the first and/or second flow-restricting surface perpendicular to the flow, that is lower against flow that is parallel to the certain direction than against flow that is perpendicular to the certain direction. The flow-restricting surfaces may direct gas flow onto a driver part that generates heat.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: July 14, 2015
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Herman Vogel, Jeroen Gerard Gosen, Bart Dinand Paarhuis, Frank Johannes Jacobus Van Boxtel, Jinggao Li
  • Patent number: 9036772
    Abstract: A mirror for the EUV wavelength range (1) having a layer arrangement (P) applied on a substrate (S), the layer arrangement having a periodic sequence of individual layers, where the periodic sequence has at least two individual layers—forming a period—composed respectively of silicon (Si) and ruthenium (Ru). Also disclosed are a projection objective for microlithography (2) including such a mirror, and a projection exposure apparatus for microlithography having such a projection objective (2).
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: May 19, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Aurelian Dodoc
  • Patent number: 8976927
    Abstract: Substrates suitable for mirrors which are used at wavelengths in the EUV wavelength range have a main body (2) and a polishing layer (3). The polishing layer (3) has a thickness of less than 10 ?m and a root-mean-square roughness of less than 0.5 nm and the main body (2) is produced from an aluminum alloy. Moreover, a highly reflective layer (6) is provided on the polishing layer (3) of the substrate (1) of the EUV mirror (5).
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: March 10, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Claudia Ekstein, Johannes Lippert, Holger Maltor, Martin Weiser, Heiko Siekmann, Udo Dinger
  • Patent number: 8944615
    Abstract: A method of manufacturing a projection objective (22) of a microlithographic projection exposure apparatus (10). The projection objective (22) comprises at least one mirror (M1 to M6) that each have a mirror support (241 to 246) and a reflective coating (26) applied thereon. First imaging aberrations of a pre-assembled projection objective are measured. Before the coating (26) is applied, the mirror supports (241 to 246) are provided with a desired surface deformation (34). If the mirrors (M1 to M6) are not reflective for projection light without the coating (26), measuring light is used that has another wavelength. Alternatively, two identical mirror supports (246) may be provided. One support having a reflective coating is part of the pre-assembled projection objective whose imaging aberrations are measured. The other support is provided with surface deformations before coating and mounting the support into the objective.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: February 3, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Juergen Mann, Stephan Muellender, Johann Trenkler, Harmut Enkisch
  • Patent number: 8930859
    Abstract: Embodiments relate to a method of decomposing a layout of a semiconductor device. The method may include generating a pattern layout including first patterns and second patterns, generating an interference map for the pattern layout, the interference map including optical interference information regarding the first and second patterns, and decomposing the pattern layout into a first decomposition pattern layout including the first patterns, and a second decomposition pattern layout including the second patterns, based on the interference map. In the interference map, an influence of constructive interference on the first patterns may be greater than an influence of constructive interference on the second patterns.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: January 6, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Gon Jung
  • Patent number: 8928972
    Abstract: A stress-reduced reflective optical element for a working wavelength in the soft X-ray and extreme ultraviolet wavelength range includes a first multilayer system (4) of at least two alternating materials (41, 42) having different real parts of the refractive index at the working wavelength on a substrate (2), which exerts a layer stress on the substrate (2), and comprising a second multilayer system (6) of at least two alternating materials (61, 62) on a substrate (2), which exerts an opposed layer stress on the substrate (2) and is arranged between the first multilayer system (4) and the substrate (2), wherein one of the materials (61) of the second multilayer system (6) is nickel-vanadium-silicon, and wherein the ratio (G) of the overall thickness of nickel-vanadium-silicon layers (61) within one period (60) of the second multilayer system (6) to the overall thickness of the period (60) of the second multilayer system (6) is at least 0.25.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: January 6, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Joern Weber
  • Patent number: 8891732
    Abstract: The present invention discloses an apparatus and a method for detecting a mark as well as a semiconductor device processing system. In order to address the problem existing in the prior art that detection of a mark in a layer of a semiconductor device has a low accuracy, the present invention uses an X-ray emitter and an X-ray detector to image the mark contained in the layer of the semiconductor device supported on the supporting member. According to the present invention, due to the use of the X-ray, even if the mark is covered by multiple layers which are opaque to visible light, the mark may be clearly imaged.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: November 18, 2014
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Qiang Wu
  • Patent number: 8893067
    Abstract: In one aspect, the present invention is directed to a technique of, and system for simulating, verifying, inspecting, characterizing, determining and/or evaluating the lithographic designs, techniques and/or systems, and/or individual functions performed thereby or components used therein. In one embodiment, the present invention is a system and method that accelerates lithography simulation, inspection, characterization and/or evaluation of the optical characteristics and/or properties, as well as the effects and/or interactions of lithographic systems and processing techniques.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: November 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yen-Wen Lu, Yu Cao, Luoqi Chen, Xun Chen
  • Patent number: 8841625
    Abstract: An extreme ultraviolet light source device, comprising a collector mirror focusing extreme ultraviolet radiation at a focal point, wherein a porous plate having a plurality of through holes arranged such that only radiation focusing at said focal point passes is provided insertably between said collector mirror and said focal point on an optical axis of said collector mirror, and a detection means is provided to receive radiation having passed through said porous plate and to detect an intensity of said received radiation, and a method for detecting an irradiance distribution in an extreme ultraviolet light source device.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: September 23, 2014
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventor: Daiki Yamatani
  • Patent number: 8831170
    Abstract: A mirror with a mirror carrier, as well as related apparatuses, systems and methods are disclosed. The mirror carrier can be embodied as cooling device with at least one cooling channel. Tube connections can be provided to connecting the at least one cooling channel to an inlet and an outlet of coolant. Sealing elements for a gas-tight and liquid-tight seals can be arranged between the tube connections and the mirror carrier. The field of application of the mirror can be, for example, an illumination device of a projection exposure apparatus.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: September 9, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Rutger Wevers, Andreas Seifert, Joachim Hartjes, Guenther Dengel
  • Patent number: 8823309
    Abstract: Disclosed is a smaller and lighter stage device which can be applied to a device such as a length measurement SEM for inspecting and/or evaluating a semiconductor, and in which the effect of a magnetic field on an electron beam can be reduced. Linear motors 110, 111, 112, 113 are disposed on four sides of a base 104 to be distanced from an electron beam projection position (the center of the stage device), respectively. The base 104 has dimensions substantially equivalent to minimum dimensions determined by the size of a top table 101 and a movable stroke. Linear motor stators 110, 112 are configured to have a “C-shaped” structure whose opening faces outside of the stage device, respectively. Further, a movable table is coupled to the top table via linear guides 107, 109 composed of a nonmagnetic material or roller mechanisms composed of a nonmagnetic material.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: September 2, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hironori Ogawa, Masahiro Koyama, Nobuo Shibata, Masaru Matsushima, Shuichi Nakagawa, Katsunori Onuki, Yoshimasa Fukushima
  • Patent number: 8817233
    Abstract: An illumination optical system for projection lithography for the illumination of an illumination field has a facet mirror. An optical system, which follows the illumination optical system, has an object field which can be arranged in the illumination field of the illuminate optical system. The facet mirror has a plurality of facets to reflectively guide part bundles of a bundle of illumination light. Reflection faces of the facets are tiltable in each case. In a first illumination tilt position, the tiltable facets guide the part bundle impinging on them along a first object field illumination channel to the illumination field. In a different illumination tilt position, the tiltable facets guide the part bundle impinging on them along a different object field illumination channel to the illumination field.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: August 26, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Hans-Juergen Mann
  • Patent number: 8811568
    Abstract: The disclosure relates to a correction light device for the irradiation of optical elements of an optical arrangement, in particular a lens, such a microlithography lens having a correction light, which include at least one correction light source and at least one mirror arrangement that deflects the light from the correction light source in the beam path to the optical element such that at least part of at least one surface of at least one optical element of the optical arrangement are irradiated in a locally and/or temporally variable fashion. The correction light strikes the surface of the optical element at a flat angle such that the obtuse angle between the optical axis of the optical arrangement at the location of the optical element and the correction light beam is less than or equal to 105°.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: August 19, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Sascha Bleidistel, Manfred Maul
  • Patent number: 8804902
    Abstract: A collector mirror exchanging apparatus capable of safely and easily exchanging a collector mirror for collecting extreme ultra violet light emitted from plasma generated within a chamber of an extreme ultra violet light source apparatus. The collector mirror exchanging apparatus includes: a supporting base for supporting a collector mirror or a collector mirror structure; and a guiding rail disposed on the supporting base and regulating a moving direction of the collector mirror or the collector mirror structure; wherein at least the collector mirror is taken out of the chamber by moving the collector mirror or the collector mirror structure along the guiding rail on the supporting base.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: August 12, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Hiroshi Someya, Tamotsu Abe, Takashi Suganuma, Hideo Hoshino, Akira Sumitani
  • Patent number: 8785893
    Abstract: In an extreme ultraviolet (“EUV”) light source apparatus, uneven angle distribution images of EUV light are detected prior to an adjustment function by a detector, and angle distribution image data is recorded. Movement data corresponding to the recorded angle distribution image data is also recorded. The movement data corresponds to a movement amount and direction that the optical focusing means is moved from a position in which the angle distribution is even to the position in which the corresponding uneven angle distribution image is obtained. For the adjustment, a current angle distribution property image is detected by the detector and is compared with the uneven angle distribution property image data stored, and image data which is most closely matched with the current angle distribution property is selected. The movement data that corresponds to the selected image data is read out, and the light focusing optical means is moved based thereon.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: July 22, 2014
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventor: Daiki Yamatani
  • Patent number: 8576376
    Abstract: An imaging optical system includes a plurality of mirrors that image an object field in an object plane into an image field in an image plane. At least one of the mirrors is obscured, and thus has a opening for imaging light to pass through. The fourth-last mirror in the light path before the image field is not obscured and provides, with an outer edge of the optically effective reflection surface thereof, a central shadowing in a pupil plane of the imaging optical system. The distance between the fourth-last mirror and the last mirror along the optical axis is at least 10% of the distance between the object field and the image field. An intermediate image, which is closest to the image plane, is arranged between the last mirror and the image plane. The imaging optical system can have a numerical aperture of 0.9. These measures, not all of which must be effected simultaneously, lead to an imaging optical system with improved imaging properties and/or reduced production costs.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: November 5, 2013
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Hans-Juergen Mann
  • Patent number: 8542346
    Abstract: The disclosure relates to an optical system, such as a projection exposure apparatus for semiconductor lithography, including a manipulable correction arrangement for reducing image aberrations. In some embodiments, the system includes at least one manipulator configured to reduce image aberrations. The manipulator can include at least one optical element which can be manipulated by at least one actuator. The manipulator can be formed in changeable fashion together with an actuator.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: September 24, 2013
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Guido Limbach, Franz Sorg, Armin Schoeppach, Ulrich Weber, Ulrich Loering, Dirk Hellweg, Peter Meyer, Stefan Xalter, Jens Kugler, Bernhard Gellrich, Stefan Hembacher, Bernhard Geuppert, Aksel Goehnermeier
  • Patent number: 8525973
    Abstract: A method for printing a pattern of features including the steps of providing a substrate having a recording layer disposed thereon, providing a mask bearing a periodic pattern of features, arranging the substrate parallel to the mask and with a separation having an initial value, providing an illumination system for illuminating the mask with an intensity of monochromatic light to generate a transmitted light-field for exposing the recording layer, and illuminating the mask for an exposure time while changing the separation by a distance having a desired value and with a rate of change of separation, wherein at least one of the rate of change of separation and the intensity of light are varied during the change of separation, whereby the mask is illuminated by an energy density per incremental change of separation that varies over said distance.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: September 3, 2013
    Assignee: Eulitha A.G.
    Inventors: Harun H. Solak, Francis S. M. Clube, Christian Dais
  • Patent number: 8497977
    Abstract: An optical integrator used in an illumination optical system for illuminating an illumination target surface on the basis of light from a light source has a first fly's eye optical system having a plurality of first optical elements arranged in parallel at a position optically conjugate with the illumination target surface in an optical path between the light source and the illumination target surface, and a second fly's eye optical system having a plurality of second optical elements arranged in parallel so as to correspond to the plurality of first optical elements in an optical path between the first fly's eye optical system and the illumination target surface. At least one first optical element out of the plurality of first optical elements, and another first optical element different from the at least one first optical element have their respective postures different from each other about an optical axis of the illumination optical system or about an axis parallel to the optical axis.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: July 30, 2013
    Assignee: Nikon Corporation
    Inventor: Yoshio Kawabe
  • Patent number: 8467032
    Abstract: An exposure apparatus including a field stop is provided. The exposure apparatus includes an illumination optical system that guides light from a light source to a pattern forming section, a projection optical system that projects, onto an exposed surface, a pattern image formed by the pattern forming section with light from the illumination optical system, a driving section that moves, in a scanning direction, a substrate arranged on the exposed surface, and a block section that is disposed between the projection optical system and the exposed surface, where the block section has a scanning window that determines a width, in the scanning direction, of an exposure region exposed to light projected by the projection optical system.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: June 18, 2013
    Assignee: Nikon Corporation
    Inventor: Hideki Komatsuda
  • Patent number: 8411815
    Abstract: Grazing incidence collectors (GICs) for extreme ultraviolet (EUV) and X-ray radiation sources, such as laser produced plasma (LPP) sources, are disclosed. Source-collector systems comprising GICs and LPP sources are also disclosed. A laser beam is directed along the collector axis to a fuel target to form the LPP source, and the collector is arranged to collect the radiation and reflect it to an intermediate focus. The collector may include one or more grazing-incidence mirrors, and these mirrors may be electroformed. lithography systems that employ the source-collector systems as disclosed herein.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: April 2, 2013
    Assignee: Media Lario, SRL
    Inventors: Ian Wallhead, Fabio Zocchi
  • Patent number: 8368032
    Abstract: A plasma radiation source includes a vessel configured to catch a source material transmitted along a trajectory, and a decelerator configured to reduce a speed of the source material in a section of the trajectory downstream of a plasma initiation site.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: February 5, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Dzmitry Labetski, Erik Roelof Loopstra, Gerardus Hubertus Petrus Maria Swinkels, Tom Van Zutphen
  • Patent number: 8351748
    Abstract: An apparatus for patterning objects for the manufacture of semiconductor integrated circuits includes an optical source, multiple fiber cores coupled to the optical source, each of the fiber cores has an input end and an output end, and each of the input ends is coupled to the optical source. The apparatus further includes an array coupled to each of the fiber cores, the array is configured to allow each of the fiber ends to output toward a common plane, an object having a photosensitive material coupled to the common plane, and a pattern that is exposed onto the photosensitive material. The pattern is composed of a number beams corresponding to a number of fiber cores.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: January 8, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Tzu Yin Chiu, Jesse Huang, Simon Tarng
  • Patent number: 8335039
    Abstract: An apparatus for measuring an image of a pattern to be formed on a semiconductor by scanning the pattern using a scanner, the apparatus including an EUV mask including the pattern, a zoneplate lens on a first side of the EUV mask and adapted to focus EUV light on a portion of the EUV mask at a same angle as an angle at which the scanner will be disposed with respect to a normal line of the EUV mask, and a detector arranged on another side of the EUV mask and adapted to sense energy of the EUV light from the EUV mask, wherein NAzoneplate=NAscanner/n and NAdetector=NAscanner/n*?, where NAzoneplate denotes a NA of the zoneplate lens, NAdetector denotes a NA of the detector, and NAscanner denotes a NA of the scanner, ? denotes an off-axis degree of the scanner, and n denotes a reduction magnification of the scanner.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: December 18, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-gun Lee, Seong-sue Kim
  • Patent number: 8335038
    Abstract: An apparatus for measuring an image of a pattern to be formed on a semiconductor by scanning the pattern using a scanner, the apparatus including an EUV mask including the pattern, a zoneplate lens on a first side of the EUV mask and adapted to focus EUV light on a portion of the EUV mask at a same angle as an angle at which the scanner will be disposed with respect to a normal line of the EUV mask, and a detector arranged on another side of the EUV mask and adapted to sense energy of the EUV light from the EUV mask, wherein NAzoneplate=NAscanner/n and NAdetector=NAscanner/n*?, where NAzoneplate denotes a NA of the zoneplate lens, NAdetector denotes a NA of the detector, and NAscanner denotes a NA of the scanner, ? denotes an off-axis degree of the scanner, and n denotes a reduction magnification of the scanner.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: December 18, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-gun Lee, Seong-sue Kim
  • Patent number: 8300205
    Abstract: The present invention relates to an alignment film printing mask, and more particularly, to a jig for an alignment film printing mask. A jig according to the present invention includes a plurality of supporting members each having at least one bent portion, arranged at regular intervals along a width direction of the alignment film printing mask for supporting the alignment film printing mask, at least one connection member for connecting the supporting members, and fastening units for securing the alignment film printing mask supported by the supporting members.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: October 30, 2012
    Assignee: LG Display Co., Ltd.
    Inventor: Cheol Joo Moon
  • Patent number: 8289499
    Abstract: Spectral purity of a radiation beam of a first wavelength may be improved by providing an optical element that includes a structure having at least first layer including a first material, which structure is configured to be substantially reflective for a radiation of the first wavelength and substantially transparent or absorptive for a radiation of a second wavelength, a second layer including a second material, the second layer being configured to be substantially reflective, absorptive or scattering for the radiation of the second wavelength, and vacuum between the first layer and the second layer, wherein the first layer is located upstream in the optical path of incoming radiation with respect to the second layer.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 16, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Wouter Anthon Soer, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Patent number: 8229062
    Abstract: A system and method to compensate for the proximity effects in the imaging of patterns in a photolithography process. A light exposure of a photoresist layer is effectuated in predetermined patterns through an exposure mask having light-transmissive openings in correspondence to the predetermined patterns. The exposure mask has areas densely populated with the light-transmissive openings and areas sparsely populated with the light-transmissive openings. Light is attenuated through the densely populated light-transmissive openings by a different amount than through the sparsely populated light-transmissive openings.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: July 24, 2012
    Assignees: Infineon Technologies AG, United Microelectronics, Co
    Inventors: Hang Yip Liu, Sebastian Schmidt, Benjamin Szu-Min Lin
  • Patent number: 8153994
    Abstract: A cooling system (10) for an extreme ultraviolet (EUV) grazing incidence collector (GIC) mirror assembly (240) having at least one shell (20) with a back surface (22) is disclosed. The cooling system has a plurality of spaced apart circularly configured cooling lines (30) arranged in parallel planes (PL) that are perpendicular to the shell central axis (AC) and that are in thermal contact with and that run around the back surface. Input and output secondary cooling-fluid manifolds (44, 46) are respectively fluidly connected to the plurality of cooling lines to flow a cooling fluid from the input secondary cooling-fluid manifold to the output cooling secondary fluid manifold over two semicircular paths for each cooling line. Separating the cooling fluid input and output locations reduces thermal gradients that can cause local surface deformations in the shell that can lead to degraded focusing performance.
    Type: Grant
    Filed: December 2, 2009
    Date of Patent: April 10, 2012
    Assignee: Media Lario S.R.L.
    Inventors: Marco Pedrali, Riccardo Ghislanzoni
  • Patent number: 8144830
    Abstract: A reflective optical element exhibits an increase in the maximum reflectivity at operating wavelengths in the extreme ultraviolet or soft x-ray wavelength range. A first additional intermediate layer (23a, 23b) and a second additional intermediate layer (24a, 24b) are provided between the absorber layer (22) and the spacer layer (21), wherein the first additional intermediate layer increases the reflectivity and the second additional intermediate layer (24a,b) prevents chemical interaction between the first additional intermediate layer (23a,b) and the adjoining spacer layer (21) and/or the absorber layer (22).
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: March 27, 2012
    Assignee: Carl Zeiss GmbH
    Inventors: Andrey E. Yakshin, Robbert W. E. Van De Kruijs, Fred Bijkerk, Eric Louis, Ileana Nedelcu
  • Patent number: 8121254
    Abstract: On a multilayer film mirror, a protective layer is formed having a varied composition in the depth direction. The protective layer includes an interface side layer formed on a thin film layer, i.e., the outermost layer of a multilayer film, a surface side layer provided on the interface side layer as the outermost surface of an optical element, and an intermediate layer. The interface side layer has properties such as providing relative absorption of non-exposure light from a light source. The surface side layer suppresses oxidation of the surface of the multilayer film.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: February 21, 2012
    Assignee: Nikon Corporation
    Inventors: Katsuhiko Murakami, Takaharu Komiya
  • Patent number: 8121396
    Abstract: A method for constructing an error map for a lithography process, by constructing a first error map using spatial error data compiled on a lithography tool used in the lithography process, and constructing a second error map using spatial error data compiled on a mask used in the lithograph process, and then combining the first error map and the second error map to produce an overall error map for the lithography process. In this manner, the spatial error is determined prior to committing product to the process, and excessive error can be corrected or otherwise resolved prior to such commitment. In various embodiments, the spatial error data includes lens error data and stage movement error data. In some embodiments the spatial error data compiled on the mask is constructed by comparing mask pattern placement data to mask pattern source files. Some embodiments include the step of adjusting process variables to reduce errors represented in the overall error map.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: February 21, 2012
    Assignee: KLA-Tencor Corporation
    Inventor: Tony DiBiase
  • Patent number: 8111900
    Abstract: Various computer-implemented methods are provided. One method for sorting defects in a design pattern of a reticle includes searching for defects of interest in inspection data using priority information associated with individual defects in combination with one or more characteristics of a region proximate the individual defects. The priority information corresponds to modulation levels associated with the individual defects. The inspection data is generated by comparing images of the reticle generated for different values of a lithographic variable. The images include at least one reference image and at least one modulated image. A composite reference image can be generated from two or more reference images. The method also includes assigning one or more identifiers to the defects of interest. The identifier(s) may include, for example, a defect classification and/or an indicator identifying if the defects of interest are to be used for further processing.
    Type: Grant
    Filed: May 15, 2010
    Date of Patent: February 7, 2012
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Kenong Wu, David Randall, Kourosh Nafisi, Ramon Ynzunza, Ingrid B. Peterson, Ariel Tribble, Michal Kowalski, Lisheng Gao, Ashok Kulkarni
  • Patent number: 8101930
    Abstract: The present invention relates to a method of increasing the operation lifetime of an optical collector unit (33)) arranged in an irradiation device. The irradiation device at least comprises a radiation source (1) emitting optical radiation, in particular extreme ultraviolet radiation or soft X-rays, said radiation source (1) generating substances and/or particles colliding with optical surfaces of the optical collector unit (33), which forms a radiation beam of a portion of said radiation emitted by said radiation source (1), and a debris mitigation unit (37) arranged between the radiation source (1) and said optical collector unit (33). In the proposed method the optical collector unit (33) is moved during operation and/or in operation pauses of the device such that deposits or degradation effects caused by collision of said substances and/or particles with said optical surfaces of the collector unit (33) are distributed more homogeneously on said optical surfaces than without such a movement.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: January 24, 2012
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Peter Zink
  • Patent number: 8103984
    Abstract: According to various embodiments of the invention, systems and methods are provided for compressed design phase contour data created during the manufacturing of integrated circuits. In one embodiment of the invention, the method begins by generating a contour layout from a target layout during the design phase of a circuit. This contour layout is generated by way of a contour generator tool. Next, a set of differences between the contour layout and the target layout are calculated. A dataset containing these differences is generated. In some embodiments, the contour generator uses a post-optical proximity correction (OPC) layout of the target layout in order to generate the contour layout.
    Type: Grant
    Filed: February 23, 2009
    Date of Patent: January 24, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 8064730
    Abstract: A method to determine a rotation of a substrate with respect to the patterning device without using a reference mark on the substrate is presented. At least two structures having corresponding features and present on the substrate, e.g. previously projected patterns, are imaged with a known position with respect to a measurement coordinate system. A distance vector between the at least two structures is determined. From the distance vector, a rotation angle is calculated. In an embodiment of the invention, there is provided a method to estimate a position of the substrate from the acquired image(s). A position is measured and used as a reference position for subsequent substrates of which the rotation angle is to be determined.
    Type: Grant
    Filed: September 8, 2004
    Date of Patent: November 22, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Raimond Visser, Leo Wilhelmus Maria Kuipers
  • Patent number: 8050380
    Abstract: A zone-optimized mirror (MZ) for reflecting extreme ultraviolet (EUV) or X-ray radiation (18) includes a reflective surface (S) having two or more substantially discrete zones (Z1, Z2, . . . Zn) that include respective coatings (C1, C2, . . . Cn). Each coating is configured to optimally reflect a select range of incident angles of the radiation incident thereon. An EUV optical system (10) and an EUV lithography system (200) that includes at least one zone-optimized mirror are also disclosed.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: November 1, 2011
    Assignee: Media Lario, S.r.L.
    Inventors: Fabio Zocchi, Jacques Kools
  • Patent number: 8051391
    Abstract: Exemplary embodiments provide a method for laying out an integrated circuit (“IC”) design and the IC design layout. In one embodiment, the IC design layout can include a first feature placed on a first intersecting point of a grid. The placed first feature can define a local grid area. The local grid area can further include a plurality of local intersecting points having an outer perimeter spaced from any outermost local intersecting point in a spacing ranging from a length of a grid side to a length of a grid diagonal of a grid unit. A second feature can either be restrictively placed on any local intersecting point of the local grid area, or be randomly placed on any location outside the outer perimeter of the local grid area.
    Type: Grant
    Filed: August 4, 2008
    Date of Patent: November 1, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: James Walter Blatchford
  • Patent number: 7919596
    Abstract: To provide a method of arranging ferritin by which a high rate of the number of the molecular film spots on which sole ferritin molecule was arranged in effect, with respect to total number of the molecular film spots provided for arranging ferritin (sole arrangement rate) is achieved is objected to. Specifically, in Fer8 ferritin having a sequence excluding 7 amino acids of from the second to the eighth, from an amino acid sequence (Fer0 sequence) translated from a naturally occurring DNA sequence, lysine at position 91 is substituted with glutamic acid.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: April 5, 2011
    Assignee: Panasonic Corporation
    Inventors: Shigeo Yoshii, Kazuaki Nishio, Shinya Kumagai, Ichiro Yamashita
  • Patent number: 7903780
    Abstract: Disclosed is a method for ablating hyaluronan-based hydrogels with X-rays, the method comprising the steps of: (a) preparing hyaluronan-based hydrogels; and (b) performing X-ray irradiation to the hyaluronan-based hydrogels to induce a degradation of the hyaluronan-based hydrogels by a gel-to-sol transition during the X-ray irradiation. Disclosed is also a method for fabricating three-dimensional microchannels of hyaluronan hydrogels with a finely tunable X-ray ablation technique.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: March 8, 2011
    Assignee: Postech Academy-Industry Foundation
    Inventors: So Eun Chang, Byung Mook Weon, Jung Ho Je, Jun Seok Yeom, Sei Kwang Hahn
  • Patent number: 7897941
    Abstract: A lithographic apparatus, comprising a collector being constructed to receive radiation from a radiation source and transmit radiation to an illumination system, wherein the collector is provided with at least one fluid duct, the apparatus including a temperature conditioner to thermally condition the collector utilizing the fluid duct of the collector, the temperature conditioner being configured to feed a first fluid to the fluid duct during a first period, and to feed a second fluid to the fluid duct during at least a second period.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: March 1, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Christiaan Leonardus Franken, Alexander Matthijs Struycken, Leon Joseph Marie Van Den Schoor
  • Publication number: 20110044425
    Abstract: A spectral purity filter includes a plurality of apertures extending through a member. The apertures are arranged to suppress a first wavelength of radiation and to allow at least a portion of a second wavelength of radiation to be transmitted through the apertures. The second wavelength of radiation is shorter than the first wavelength of radiation. The apertures extend though the member in different directions in order to be substantially in alignment with radiation constituting a non-parallel beam of radiation.
    Type: Application
    Filed: August 20, 2010
    Publication date: February 24, 2011
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Martin Jacobus Johan Jak, Vadim Yevgenyevich Banine, Maarten Marinus Johannes Wilhelmus Van Herpen, Wouter Anthon Soer, Andrey Mikhailovich Yakunin
  • Publication number: 20110033025
    Abstract: An apparatus for measuring an image of a pattern to be formed on a semiconductor by scanning the pattern using a scanner, the apparatus including an EUV mask including the pattern, a zoneplate lens on a first side of the EUV mask and adapted to focus EUV light on a portion of the EUV mask at a same angle as an angle at which the scanner will be disposed with respect to a normal line of the EUV mask, and a detector arranged on another side of the EUV mask and adapted to sense energy of the EUV light from the EUV mask, wherein NAzoneplate=NAscanner/n and NAdetector=NAscanner/n*?, where NAzoneplate denotes a NA of the zoneplate lens, NAdetector denotes a NA of the detector, and NAscanner denotes a NA of the scanner, ? denotes an off-axis degree of the scanner, and n denotes a reduction magnification of the scanner.
    Type: Application
    Filed: October 22, 2010
    Publication date: February 10, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: DONG-GUN LEE, Seong-sue Kim