Transporting Means Carries Load To At Least One Of A Plurality Of Fixed Stations Patents (Class 414/222.13)
  • Patent number: 8641351
    Abstract: A robot control unit that turns off a holding unit for a plate member, while the plate member is placed on an end effector, and lower the end effector, by a predetermined distance, from an initial position higher than a preset temporary placing position toward the temporary placing position. Then the robot control unit switches the holding member, from an OFF state to an ON state, while the end effector is stopped, and determines whether the plate member is held by the holding unit, with a holding-state detection unit. When the plate member is held by the holding unit, the robot control unit turns off the holding unit while further lowering the end effector by the predetermined distance. When the plate member is not held by the holding unit, the robot control unit detects a position of the end effector at this point of time, as a normal placing position.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: February 4, 2014
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventor: Nobuyasu Shimomura
  • Publication number: 20130287529
    Abstract: A substrate processing system with independent substrate placement capability to two or more substrate support assemblies is provided. Two different sets of fixed-length lift pins are disposed on two or more substrate support lift pin assemblies of two or more process chambers, where the length of each lift pin in one process chamber is different from the length of each lift pin in another process chamber. The substrate processing system includes simplified mechanical substrate support lift pin mechanisms and minimum accessory parts cooperating with a substrate transfer mechanism (e.g., a transfer robot) for efficient and independent loading, unloading, and transfer of one or more substrates between two or more processing regions in a twin chamber or between two or more process chambers. A method for positioning one or more substrates to be loaded, unloaded, or processed independently or simultaneously in two or more processing regions or process chambers is provided.
    Type: Application
    Filed: March 15, 2013
    Publication date: October 31, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Yao-Hung YANG, Jeonghoon OH, Frank F. HOOSHDARAN, Tom K. CHO, Tao HOU, Yuanhung GUO
  • Patent number: 8565919
    Abstract: The present embodiment provides for methods and systems for use in processing objects such as wafers, including polishing and/or grinding wafers. Some embodiments provide systems that include a front-end module and a processing module. The front end module couples with a storage device that stores objects for processing. The front-end module can comprise a single robot, a transfer station, and a plurality of end effectors. The processing module is coupled with the front-end module such that the single robot delivers objects from the storage device to the processing module. The processing module comprising a rotating table, and a spindle with a carrier configured to retrieve the delivered object and process the object on the rotating table.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: October 22, 2013
    Assignee: Strasbaugh
    Inventors: William J. Kalenian, Thomas A. Walsh, Dave Halley
  • Patent number: 8562275
    Abstract: A transfer device 17 in a semiconductor processing system includes first and second actuation mechanisms 9A, 9B having first and second support sections movable on first and second vertical planes, respectively, the latter being parallel with each other. First and second movable blocks 18A, 18B are supported on the first and second support sections so that they may be horizontally moved by the first and second actuation mechanisms. Disposed on the first and second movable blocks are first and second handling mechanism 19A, 19B capable of extension and contraction for handling a processing subject substrate W. A control section 20 controls the operation of the first and second actuation mechanisms so that the first and second movable blocks may not interfere with each other.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 8560108
    Abstract: Even when a module constituting a multi-module becomes an unavailable module, transfer of substrates can be promptly performed, while restricting generation of inferior products. When a destination module of a multi-module becomes unavailable before a substrate is transferred to the destination module, a destination of the substrate is changed to a module to which a substrate subsequent to the substrate is to be loaded. Upon generation of an unavailable module, before the transfer unit accesses the module on an upstream end of the transfer cycle, the transfer cycle proceeds until a precedent substrate becomes ready to be unloaded from the changed destination module. Alternatively, upon generation of an unavailable module, when the transfer unit is located on an upstream side of the unavailable module in the transfer cycle, the transfer operation of the transfer unit is made standby until a precedent substrate becomes ready to be unloaded in the changed destination module.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 15, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenichirou Matsuyama, Takeshi Matsumoto
  • Patent number: 8558570
    Abstract: A component transport apparatus includes: a transport hand including a plurality of index units each one of which is capable of holding a component; a movable body that moves the transport hand; and a plurality of functional stations on which the components are mounted. The index units function to mount the components on the functional stations. The functional stations are spaced apart at intervals along a movement direction of the transport hand. The index units are spaced apart at intervals equal to the intervals at which the functional stations are spaced apart along the movement direction of the transport hand.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: October 15, 2013
    Assignee: Seiko Epson Corporation
    Inventors: Masakuni Shiozawa, Hiroaki Fujimori
  • Patent number: 8544159
    Abstract: A manufacturing cell has a plurality of stations arranged in two rows having a main aisle therebetween. Each of the plurality of stations has a station-aisle interface that provides an interaction area to the main aisle. The manufacturing cell also comprises a plurality of storage units that are located within the cell along the main aisle and a guided forklift truck that traverses the main aisle without requiring steering control of a stock handler operating the forklift truck within the main aisle to relocate containers from the storage units to designated station-aisle interfaces and to remove containers from the designated station-aisle interfaces when work is completed at the corresponding stations. At least one of the plurality of stations having two conveyors each having a tiltable platform such that each of the two conveyors can be used to receive and return containers.
    Type: Grant
    Filed: January 11, 2012
    Date of Patent: October 1, 2013
    Assignee: Crown Equipment Corporation
    Inventors: Rick J. Muhlenkamp, David G. Schaefer, Todd A. Thomas, Stephen E. Wagner, Joel E. Zacharias
  • Publication number: 20130236276
    Abstract: A system for handling sample vials includes a vault for receiving a series of storage cartridges. Inside the cartridges are one or more discs providing vial recesses. In multidisc cartridges, through passages are provided to place and retrieve vials into and from the subjacent discs. A pick up device is moved until it aligns with a selected vial and the vial is pneumatically removed. A device is provided to reverse orientation of a vial moving through the system. A venturi operated system is provided including symmetrical bleed off ports to propel vials through the system without inducing excessive spinning of the vials. A piping system from a central bank to a multiplicity of analytical instruments includes a minimum number of through conduits and a diverter at each instrument location for sending the vial either to the instrument or downstream toward another diverter and instrument.
    Type: Application
    Filed: March 8, 2012
    Publication date: September 12, 2013
    Inventor: Daniel T. Richter
  • Publication number: 20130214050
    Abstract: A system for processing security or identification objects, comprising a transfer device which is receives and re-delivers at least one security or identification object. The system includes processing, control, inventory or receiving modules which are arranged on an enclosure having an at least partially closed periphery. The periphery of the enclosures surrounds the space in which the transfer device moves the security or identification objects so that the space in which the security or identification objects are moved and a space surrounding the enclosure are spatially separated from each other. The periphery of the enclosure includes openings through which the transfer device receives the security or identification objects from the surrounding space and delivers same to the surrounding space and through which the supply and/or discharge of the security or identification objects to/from the modules takes place.
    Type: Application
    Filed: August 12, 2011
    Publication date: August 22, 2013
    Applicant: MUEHLBAUER AG
    Inventor: Boris Fruehauf
  • Publication number: 20130195588
    Abstract: Disclosed is an article transport facility in which reduction in the transport capacity can be prevented while simplifying the structure and making the facility less expensive. The facility includes, as travel paths, a first travel path and a second travel path which straddles the first travel path from one side to the other side in a lateral direction of the first travel path. In a straddling portion, which is a part of the second travel path that straddles the first travel path, the second travel path is provided at a different height from the first travel path in a vertical direction, and is configured such that travel of the article transport vehicle along the first travel path and travel of the article transport vehicle along the second travel path are mutually allowed.
    Type: Application
    Filed: June 17, 2011
    Publication date: August 1, 2013
    Applicant: DAIFUKU CO., LTD.
    Inventors: Suguru Shibata, Daisuke Ogawa, Yoshitaka Inui
  • Publication number: 20130195587
    Abstract: A wafer transfer device includes: a wafer compartment; a pre-alignment chamber, provided either above or below the wafer compartment; a first wafer transfer chamber, provided in a vertical direction along the wafer compartment and the pre-alignment chamber, for transferring the semiconductor wafer from the wafer compartment to the pre-alignment chamber; and an alignment chamber for aligning the semiconductor wafer, the alignment chamber being provided adjacent to the pre-alignment chamber. The wafer transfer device further includes a second wafer transfer chamber, disposed along an arrangement direction of the first wafer transfer chamber, the pre-alignment chamber and the alignment chamber, for transferring the semiconductor wafer among the pre-alignment chamber, the alignment chamber and the test chambers.
    Type: Application
    Filed: August 1, 2012
    Publication date: August 1, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiroki HOSAKA, Masahiko AKIYAMA
  • Publication number: 20130164102
    Abstract: A device for filling containers, in particular bottles and beakers with food products, in particular with low viscosity to pasty dairy and fat products, juices, waters and similar, comprising: an upper main element, a lower main element and lateral elements connecting the upper main element and the lower main element; and a plurality of support elements which are endlessly supported on rollers through the device past operating stations along the upper main element, the lateral element and the lower main element, wherein the support elements include receivers for containers which receivers are arranged in series transversal to a feed direction, wherein at least two support elements are arranged on a common frame and are run by the frame on rollers through the device in an endless manner.
    Type: Application
    Filed: June 21, 2012
    Publication date: June 27, 2013
    Inventor: Martin Junk
  • Publication number: 20130156530
    Abstract: An aligner, chuck, and end effector for substrate processing are provided. The aligner includes a rotatable substrate support having a surface for supporting the substrate. The rotatable substrate support has a diameter less than a diameter of the substrate and surfaces of the rotatable substrate support are coated with a coating consisting essentially of a poly(p-xylylene) polymer. The chuck includes a flat platform that supports the substrate during processing. The chuck is larger than the substrate and may include holes though which lift pins can pass assist the loading/unloading of the substrate. The end effector includes an arm supporting a first extension and a second extension, wherein the arm, the first extension and the second extension are coated with a coating consisting essentially of a poly(p-xylylene) polymer.
    Type: Application
    Filed: December 14, 2011
    Publication date: June 20, 2013
    Applicant: Intermolecular, Inc.
    Inventors: Robert Sculac, Aaron Francis, Satbir Kahlon, Le Marious Sword
  • Publication number: 20130149079
    Abstract: A sample transfer mechanism of the present invention is characterized broadly by a sample gripping method in which a downward part of a sample vessel is gripped and a cover that achieves an effect as a guide such that gripping arms that grip the sample vessel avoid contact with other objects and bypass surrounding objects, particularly, the sample vessels in approaching and retracting motions. To enhance the effect as the guide and to ensure that the sample vessels can be mounted with a high degree of integration, the shape of the cover and disposition of the sample transfer mechanism are characterized in a number of manners. Thus, a sample transfer mechanism that can perform a transfer process safely with high throughput even under conditions in which a variety of types of sample vessels is mixed together and the sample vessels are mounted with a high degree of integration is provided.
    Type: Application
    Filed: August 31, 2011
    Publication date: June 13, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Akihiro Ohiso, Yoshiteru Hirama, Tatsuya Fukugaki, Tetsuya Isobe, Hiroaki Sakai
  • Publication number: 20130142597
    Abstract: An intermediate transfer device is located upwardly of an article delivering and receiving portion and downwardly of a ceiling transport vehicle. A transfer device grip is provided such that the intermediate transfer device is in vertical alignment with the ceiling transport vehicle and the article delivering and receiving portion and is capable of traveling, while guided and supported by a guiding support, to and among a transfer position for the delivering and receiving portion, a transfer position for the intermediate rack, a transfer-time retracted position, and a temporary-storage-time retracted. The guiding support is configured to form a space through which the grip portion and an article can pass in the vertical direction when the ceiling transport vehicle transfers the article.
    Type: Application
    Filed: December 28, 2010
    Publication date: June 6, 2013
    Applicant: DAIFUKU CO., LTD.
    Inventors: Tomotaka Kinugawa, Suguru Shibata, Daisuke Ogawa
  • Publication number: 20130121793
    Abstract: One embodiment of a credential manufacturing device comprises a first hopper, a first processing path, a first processing device, a substrate shuttle and a shuttle drive. The first hopper is configured to contain a plurality of card substrates and includes an output port. The first processing device is in the first processing path and is configured to perform a first process on individual card substrates in the first processing path. The substrate shuttle is positioned between the first hopper and the first processing path and is configured to receive individual card substrates from the output port of the first hopper, transport received card substrates along a shuttle path, and deliver received card substrates to the first processing path. The shuttle drive is configured to drive movement of the substrate shuttle along the shuttle path.
    Type: Application
    Filed: November 10, 2011
    Publication date: May 16, 2013
    Applicant: HID Global Corporation
    Inventors: Ted M. Hoffman, Philip G. Umberger
  • Publication number: 20130075426
    Abstract: A beverage dispensing apparatus includes a dispensing structure, a transportation mechanism linked with the dispensing structure and a staging structure linked with the transportation structure. A control system is linked with the dispensing structure, staging structure and the transportation mechanism. A sensor mechanism is linked with the control system. The sensor mechanism provides signals indicating the position of a cup. A cup identification system having an interactive display is connected to the control system. The display has visual characteristics indicating the position and characteristics of a cup.
    Type: Application
    Filed: September 24, 2012
    Publication date: March 28, 2013
    Applicant: IMI CORNELIUS INC.
    Inventor: IMI CORNELIUS INC.
  • Publication number: 20130078059
    Abstract: A substrate processing apparatus includes: a carrier block including first and second carrier placement units spaced apart in a right and left direction; a processing block having a layered structure in which a plurality of layered parts are vertically arranged, the layered parts each including a substrate transport mechanism for transporting a substrate and a processing module for processing a substrate; a tower unit including plural substrate placement units located at height positions where a substrate is transferred by the substrate transport mechanism of the layered part corresponding to the substrate placement unit; a first substrate transfer mechanism configured to transfer a substrate between the carrier on the first carrier placement unit and the substrate placement unit of the tower unit; and a second substrate transfer mechanism configured to transfer a substrate between the carrier on the second substrate placement unit and the substrate placement unit of the tower unit.
    Type: Application
    Filed: September 12, 2012
    Publication date: March 28, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Suguru ENOKIDA, Masahiro NAKAHARADA, Akira MIYATA, Hidekazu KIYAMA, Naruaki IIDA
  • Publication number: 20130039734
    Abstract: Substrate transport systems, apparatus, and methods are described. In one aspect, the systems are disclosed having vertically stacked transfer chamber bodies. In one embodiment, a common robot apparatus services process chambers or load lock chambers coupled to upper and lower transfer chamber bodies. In another embodiment, separate robot apparatus service the process chambers and/or load lock chambers coupled to upper and lower transfer chamber bodies, and an elevator apparatus transfers the substrates between the various elevations. Degassing apparatus are described, as are numerous other aspects.
    Type: Application
    Filed: August 9, 2012
    Publication date: February 14, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Eric A. Englhardt, Richard Giljum, Jeffrey C. Hudgens, Igor G. Kogan, Michael Robert Rice, Sushant S. Koshti
  • Publication number: 20130034410
    Abstract: A system for transporting containers between different stations is presented. The containers are accommodated in container carriers. The system comprises a control unit for controlling the transport of the container carriers, a transport area divided into subareas and on which the container carriers can be movably arranged, and a drive. The drive is activated by the control unit and each subarea is assigned a respective drive. Each drive applies a drive force to an associated container carrier.
    Type: Application
    Filed: October 11, 2012
    Publication date: February 7, 2013
    Applicant: ROCHE PVT GMBH
    Inventor: Roche PVT GmbH
  • Publication number: 20130028692
    Abstract: An automatic positioning device includes a holder, a first motor, a securing block, a second motor, and a connecting rod. The first motor is mounted on the holder and horizontally slides relative to the holder. The securing block is fixed with the first motor. The second motor is mounted on the securing block and vertically slides relative to the securing block. The connecting rod is connected with the second motor. The first motor drives the connecting rod moving horizontally and the second motor drives the connecting rod moving vertically.
    Type: Application
    Filed: December 16, 2011
    Publication date: January 31, 2013
    Applicant: FIH (HONG KONG) LIMITED
    Inventors: CHIH-PEN LIN, YU-HSIANG LEE, WEI-BEN CHEN
  • Patent number: 8316867
    Abstract: Methods and apparatus for cleaning electrostatic chucks in processing chambers are provided. The process comprises flowing a backside gas comprising a reactive agent into a zone in a process chamber, the zone defined by a space between a surface of an electrostatic chuck or of a cleaning station and a surface of a substrate. The surface of the electrostatic chuck is etched with the reactive agent to remove debris. An apparatus for cleaning an electrostatic chuck is also provided, the apparatus comprising: a process chamber; an elongate arm having a reach disposed through a wall of the process chamber; an electrostatic chuck attached to the elongate arm; a cleaning station located within the reach of the elongate arm; and a reactive gas source that is operatively connected to the cleaning station.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: November 27, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Dean C. Jennings, Majeed Foad, Jonathan Simmons
  • Patent number: 8311270
    Abstract: A vessel block arranging device extracts image information on a vessel block loaded on a transporter, and determines whether to arrange the vessel block in an area in which the vessel block will be arranged by using location information of the arranged vessel block in the area and extracted image information, thereby reducing errors caused by determining the vessel block arrangement.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: November 13, 2012
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jeong-Ho Park, Jong-Hyun Park, Wan Sik Choi, Gwang Ja Jin, Inhak Joo, Seung Yong Lee, Kyong Hee Lee
  • Publication number: 20120282065
    Abstract: A system for periodically charging an electrically powered automated guided vehicle includes a charging station positioned adjacent a predetermined route of the automated guided vehicle. The charging station includes a charging arm which is selectively movable between a stowed position and a charge position in which the charging arm engages with the automated guided vehicle to perform a charging operation. The charging station is dimensioned and positioned so as to be positioned underneath a bottom surface of a platform connected to the automated guided vehicle and between a side edge of the platform and the automated guided vehicle.
    Type: Application
    Filed: May 6, 2011
    Publication date: November 8, 2012
    Applicant: Toyota Motor Engineering & Manufacturing North America, Inc.
    Inventor: Raman Virk
  • Publication number: 20120275886
    Abstract: A transport system includes a first track that passes above loading ports of the processing devices, and an overhead travelling vehicle that travels along the first track and includes a hoist. A second track is below the first track, passes above the loading ports, and is disposed in parallel or substantially in parallel with the first track. A buffer is provided below the second track at a position higher than the loading ports, and is arranged such that the articles can pass in a vertical direction over the loading ports. The buffer is disposed such that the articles can pass through the height position of the buffer in a vertical direction over the loading ports. A local vehicle travels along the second track and includes a hoist to perform delivery and receipt of the articles between the buffer and the loading ports.
    Type: Application
    Filed: October 28, 2011
    Publication date: November 1, 2012
    Applicant: MURATEC AUTOMATION CO., LTD.
    Inventor: Tatsuji OTA
  • Publication number: 20120257176
    Abstract: A substrate transporting method includes: after a holding unit of a substrate holding apparatus receives a substrate from one placement location for a substrate and holds it, detecting a first positional deviation of the substrate from a reference position of the substrate on the holding unit; transporting the substrate held by the holding unit to a position facing another placement location; detecting a second positional deviation of the substrate from the reference position of the substrate on the holding unit, when the substrate is located at the position facing the another placement location; calculating, based on the first and second positional deviations, a positional displacement of the substrate relative to the holding unit that occurred during the transporting of the substrate to the position facing the another placement location; and determining whether or not the positional displacement thus calculated falls within a predetermined range.
    Type: Application
    Filed: April 9, 2012
    Publication date: October 11, 2012
    Applicant: Tokyo Electron Limited
    Inventor: Tokutarou HAYASHI
  • Patent number: 8235641
    Abstract: For use with lazy-portrait narrow-end to narrow-end (LPEE) formatted document pages printed on a continuous web of material, an apparatus and method of use for assembling correctly page-sequenced document sets that utilizes a slitter for separating the LPEE formatted document pages into two separate streams of continuous sheets that are loaded onto a document transfer cart that has two side-by-side and hinged-together document receiving trays, for each tray a removable bottom support plate assembly having casters on the lower portion of one of the bottom support plates, a top document delivery roller for each tray, a central hinge connecting the two trays to each another, support rack pivot rods, a handle secured to each tray, and cart transfer wheels.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: August 7, 2012
    Assignee: DST Output
    Inventors: Frank W. Delfer, Charles B. Clupper, Marc J. Fagan, Brett Jay Flickner, Matthew Thomas Leettola
  • Publication number: 20120163680
    Abstract: An apparatus including at least one of a stainer module and a coverslipper module; an imaging module; a storage module; an automated transport module for transporting at least one slide between at least one of the stainer module and the coverslipper module, the imaging module and the storage module; and a controller. A method including processing at least one slide; determining whether an imaging module is available for imaging of a biological specimen on the at least one slide; transporting the at least one slide to the imaging module using an automated transport module; and transporting the at least one slide to a storage module using the automated transport module when it is determined that the imaging module is not available. A system including a processing module for processing at least one slide including a biological specimen thereon. A machine readable medium.
    Type: Application
    Filed: December 28, 2010
    Publication date: June 28, 2012
    Applicant: SAKURA FINETEK U.S.A., INC.
    Inventor: Gilles Lefebvre
  • Publication number: 20120128451
    Abstract: Systems for effecting transfer of reaction receptacles between modules of an instrument for performing multi-step analytical procedures and for adjusting for variations in the positions of such modules includes a receptacle carrier supported on a track on which the carrier translates between different modules located adjacent to the track. The carrier includes a receptacle distribution head supported on a receptacle carrier carriage. The distribution head includes a receptacle moving mechanism adapted to move receptacles into and out of the distribution head and into and out of a module. The carriage is engaged with the track and adapted for translation along the track. Drive systems effect powered translation of the carriage, powered elevation and rotation of the distribution head, and powered movement of receptacles into and out of the distribution head. A transfer position locating system automatically determines a receptacle transfer position of the receptacle carrier with respect to each module.
    Type: Application
    Filed: May 17, 2010
    Publication date: May 24, 2012
    Applicants: STRATEC BIOMEDICAL AG, GEN-PROBE INCORPORATED
    Inventors: Norbert D. Hagen, Byron J. Knight, David Opalsky, Jason F. Rhubottom, Olaf Hörger, Heiko Sayer, Harald Thahedl, Stefan Habrich
  • Patent number: 8167524
    Abstract: A semiconductor package handling system is provided comprising a package holder for receiving and holding singulated semiconductor packages, and a first inspection device which is arranged and configured to inspect a first surface of the packages while they are being held by the package holder. An offloading device receives the packages from the package holder and conveys them packages to an offloader, and a second inspection device is arranged and configured to inspect a second surface of the packages which is opposite from the first surface while they are being held by the offloading device.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: May 1, 2012
    Assignee: ASM Assembly Automation Ltd
    Inventors: Chi Wah Cheng, Wang Lung Alan Tse, Tim Wai Tony Mak, Lap Kei Eric Chow
  • Patent number: 8114001
    Abstract: A workpiece processing plant comprises several machine tools which are arranged in opposite rows. The rows define a free space between each other. A loading and unloading device is provided which comprises a girder above the machine tools and above the free space. Rails are provided on the upper side of the girder. A loading and unloading unit configured as a multiple-axis robot is displaceable along the rails, the loading and unloading unit comprising several arms which are articulated to one another.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: February 14, 2012
    Assignee: MAG IAS, LLC
    Inventors: Wolfgang Horn, Moshe Israel Meidar, Thomas Bayha, Ralf Haug
  • Patent number: 8079797
    Abstract: A substrate processing system includes a control section configured to control a series of transfer operations and preset to control operation of a container transfer apparatus, operation at a substrate access area, and operation of a substrate handling apparatus independently of each other. The control section includes a schedule creating portion configured to create a transfer schedule by individually adjusting operation timing of the container transfer apparatus, operation timing at the substrate access area, and operation timing of the substrate handling apparatus such that, in a state while a first lot of substrates are treated in the processing system, but the container transfer apparatus and the substrate access area are unoccupied, a container with a second lot of unprocessed substrates stored therein is transferred onto the substrate access area, thereby minimizing total transfer time.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: December 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Osamu Tanaka, Takafumi Tsuchiya, Tohru Iwabae
  • Publication number: 20110280693
    Abstract: A substrate processing apparatus including a transport chamber having an end and defining more than one substantially linear substrate transport zone where each transport zone extends longitudinally along the transport chamber between opposing walls of the transport chamber and at least one of the more than one substantially linear substrate transport zones is configured as a supply zone for enabling transport of substrates from the end and at least one of the more than one substantially linear substrate transport zones is configured as a return zone for enabling transport of substrates to the end, and at least one substrate transport located in and movably mounted to the transport chamber for transporting substrates along the more than one substantially linear substrate transport zone, where each substrate transport zone is configured to allow the at least one substrate transport to move from one transport zone to another transport zone.
    Type: Application
    Filed: August 1, 2011
    Publication date: November 17, 2011
    Applicant: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Publication number: 20110268538
    Abstract: A component transport apparatus includes: a transport hand including a plurality of index units each one of which is capable of holding a component; a movable body that moves the transport hand; and a plurality of functional stations on which the components are mounted. The index units function to mount the components on the functional stations. The functional stations are spaced apart at intervals along a movement direction of the transport hand. The index units are spaced apart at intervals equal to the intervals at which the functional stations are spaced apart along the movement direction of the transport hand.
    Type: Application
    Filed: July 14, 2011
    Publication date: November 3, 2011
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Masakuni SHIOZAWA, Hiroaki FUJIMORI
  • Publication number: 20110243792
    Abstract: A sample processing system comprising: first, second and third sample processing units; first, second and third sample transport units; and a control section is disclosed. In order to transport a sample rack which is to be introduced to the first sample transport unit to a destination sample transport unit which is located downstream of a second sample transport unit, the control section initially controls the first and second transport unit to move a transport member. When the sample rack arrives at a predetermined position on the transport path of the first transport unit, the control section controls the third transport unit to move a transport member.
    Type: Application
    Filed: March 24, 2011
    Publication date: October 6, 2011
    Inventors: Hiroo Tatsutani, Hiroyuki Tanaka
  • Patent number: 8021094
    Abstract: In the present invention, through a provision of a relay stand including a first relaying point, a second relaying point and a plurality of work mounting bases, a discharge/feed process of works between the relay stand and the work feed container and the work accommodation container is performed at the first relaying point and a load/unload process of works between the relay stand and the plurality of work inspection machines is performed at the second relaying point.
    Type: Grant
    Filed: October 2, 2008
    Date of Patent: September 20, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kyoichi Mori, Fujio Yamasaki
  • Publication number: 20110222994
    Abstract: In a substrate processing apparatus, a storage device, an indexer block, a processing block and an interface block are arranged to line up in this order. The storage device includes a plurality of openers on which a carrier storing a plurality of substrates can be placed. The carrier is carried in the storage device. In the storage device, the carrier is transported among the plurality of openers by a transport device. The transport device includes first and second hands configured to be able to hold the carrier and move in a horizontal direction and a vertical direction. The second hand is provided below the first hand.
    Type: Application
    Filed: March 8, 2011
    Publication date: September 15, 2011
    Inventors: Yukihiko INAGAKI, Kensaku ONISHI, Jun YAMAMOTO
  • Patent number: 8016538
    Abstract: The station for weighing containers comprises a line for transporting containers to be filled with a predetermined product, a zone for dosing and filling the containers with the product, a first transferring organ for picking up and transferring an individual empty container to a weighing organ, a second transferring organ for picking up and transferring the container to the weighing organ after filling. The transferring organs respectively comprise an oscillating arm which is activated with alternating motion between a pick-up and release position of the container to be weighed in proximity of the transport line and a position of release and pick-up of the container nearby the weighing organ.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: September 13, 2011
    Assignee: Open Business Exchange Limited
    Inventor: Giuseppe Monti
  • Patent number: 7974726
    Abstract: By providing an under-specified specification for designating a destination carrier in a respective control job or control message, a high degree of flexibility in determining the destination of processed substrates may be obtained, thereby also allowing the removal of a source carrier for enhancing load port availability in complex semiconductor facilities.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: July 5, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jan Rothe, Konrad Rosenbaum
  • Patent number: 7971527
    Abstract: Images are printed on surfaces of discrete objects that are loaded onto an upstream end of a production path passing through a plurality of treatment stations and taken off a downstream end the path. The path passes through a plurality of treatment stations and is split at least one of the stations into a plurality of generally parallel lanes each having a respective treatment device or printer. At the one treatment station the objects are split up and fed to the lanes from the path, the images are printed on the objects in the lanes with the respective printers, and all the objects are returned to the path after printing. The objects move single-file downstream along the path upstream and downstream of the one station. Instead of printers, each lane can have some other type of treatment machine and the operations performed by these treatment machines in the lanes can be the same or different.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: July 5, 2011
    Assignee: KBA-Metronic AG
    Inventors: Alfred Bausenwein, Rainer Endres, Peter Schmitt
  • Publication number: 20110142579
    Abstract: A transfer device includes a first transfer mechanism including a first shaft which is rotatable and vertically arranged and a horizontally extensible and retractable first arm having at a leading end thereof a first pick for holding a processing target object, the first arm being attached to the first shaft; and a second transfer mechanism including a second shaft which is rotatable and vertically arranged and a horizontally extensible and retractable second arm having at a leading end thereof a second pick for holding a processing target object, the second arm being attached to the second shaft. The first and the second transfer mechanism are vertically separated from each other while a rotation center of the first shaft and a rotation center of the second shaft coincide with each other.
    Type: Application
    Filed: December 15, 2010
    Publication date: June 16, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiromitsu SAKAUE, Masahito OZAWA, Yoji IIZUKA
  • Publication number: 20110135429
    Abstract: Provided is a production facility which allows a worker to perform manual works such as restoration, setting change, and manufacturing, without entering an action area of a robot. The production facility (1) includes a casing (11), a swaging device (12) as a dedicated device housed in the casing (11), for performing swaging using a boot band, and an internal transfer device (13). A human work area (F) on the front side of the production facility (1) and an action area (B) of a transfer robot (2) on the back side of the production facility (1) are separated by the swaging device (12) and the casing (11). The worker positioned on the front side of the production facility (1) operates swaging device (12) without entering the action area (B) of the transfer robot (2) so that the transfer robot (2) need not be stopped when the work is performed.
    Type: Application
    Filed: August 31, 2009
    Publication date: June 9, 2011
    Inventors: Takuya Machida, Shigeki Matsushita, Shinya Inui, Hiroshi Hatano
  • Publication number: 20110123301
    Abstract: A method of supplying storage devices to a storage device testing system includes placing a storage device tote, carrying multiple storage devices, in a presentation position accessible to an automated transporter of the storage device testing system. The method includes actuating the automated transporter to retrieve one of the storage devices from the storage device tote, and actuating the automated transporter to deliver the retrieved storage device to a test slot of the storage device testing system and insert the storage device in the test slot.
    Type: Application
    Filed: April 16, 2009
    Publication date: May 26, 2011
    Inventors: Scott Noble, Edward Garcia, Evgeny Polyakov, Eric L. Truebenbach, Brian S. Merrow
  • Publication number: 20110081221
    Abstract: A system for feeding glass sheets to at least one sheet processing line has a sheet withdrawal station, in which are housed stands for supporting packs of sheets and a loading machine mobile in a rectilinear transfer direction to withdraw the sheets from the stands and transfer them to the processing line; the stands being arranged along the transfer direction on opposite sides of the loading machine and having first and, respectively, second inclined side resting surfaces of the respective sheet pack facing the loading machine and converging one towards the other and downwards, and being mobile from and towards the withdrawal station under the thrust of a common transfer unit.
    Type: Application
    Filed: October 6, 2010
    Publication date: April 7, 2011
    Inventors: Mario Balbi, Enrico Boggero
  • Publication number: 20110076120
    Abstract: A substrate processing apparatus includes a loading/unloading unit including a mounting table on which a storage container accommodating a target substrate is mounted; a processing unit set including a plurality of processing units that perform a process on the target substrate; a plurality of transit units that transit the target substrate between the loading/unloading unit and the processing unit set; a selection unit that receives input for selecting a storage container for loading, a storage container for unloading, and a processing unit to be used for processing the target substrate; and a transfer recipe creating unit that automatically selects a transit unit to be used among the plurality of transit units based on the storage container for loading, the storage container for unloading, and the processing unit selected through the selection unit, automatically generates a transfer route of the target substrate, and creates a transfer recipe.
    Type: Application
    Filed: September 28, 2010
    Publication date: March 31, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kouichi Itou
  • Publication number: 20110076117
    Abstract: A disclosed process module includes a substrate receiving part on which a substrate is placed and a process is carried out with respect to the substrate on the substrate receiving part; and a substrate transfer mechanism including plural substrate holding members, each of which can be positioned in a first position where the substrate is transferred to/from a substrate transfer apparatus provided outside the process module and a second position above the substrate receiving part, wherein each of the substrate holding members can hold the substrate.
    Type: Application
    Filed: September 15, 2010
    Publication date: March 31, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoji IIZUKA
  • Patent number: 7888928
    Abstract: A component test apparatus performing a test on an electronic component is disclosed. The component test apparatus includes a component loading device, a transport hand, and a component unloading device. The transport hand includes a plurality of index units each one of which is capable of holding the electronic component and operating independently from the other ones of the index units. The index units are aligned adjacently in a transport direction of the electronic component extending from a loading position at which the component loading device loads the electronic component toward a test position at which a test socket is provided.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: February 15, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Masakuni Shiozawa, Hiroaki Fujimori
  • Patent number: 7874783
    Abstract: An apparatus for processing a work piece in a vacuum environment includes a master process chamber that can be exhausted to a sub-atmospheric air pressure or to be filled with a desirable gas, a transfer chamber configured to receive the work piece from outside of the master process chamber, one or more processing stations inside the master process chamber, a rotation plate configured to receive the work piece and to move the work piece to receive one or more processing operations, and a first transport mechanism configured to transfer the work piece from the transfer chamber on to the rotation plate. The transfer chamber is at least partially enclosed in the master process chamber and can be vacuum sealed off from the master process chamber.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: January 25, 2011
    Assignee: Ascentool, Inc.
    Inventors: George Xinsheng Guo, Kai-an Wang
  • Publication number: 20100303588
    Abstract: A system and method is disclosed that transfers carrier boards in a handler that supports the testing of electronic devices. A carrier board can be transferred from the transfer start position to one of the mid transfer positions and the transfer final position. Carrier boards, which are spaced apart from each other in a chamber, can be gathered adjacent to each other in the circulation direction of carrier board. The transfer speed and the total circulation speed of the carrier boards can be enhanced. The transfer speed of carrier board can be easily controlled according to the test conditions.
    Type: Application
    Filed: January 19, 2009
    Publication date: December 2, 2010
    Applicant: TechWing., CO. LTD
    Inventors: Yun-Sung Na, In-Gu Jeon, Dong-Hyun Yo, Young-Ho Kweon, Hoyung-Su Kim
  • Patent number: 7826924
    Abstract: An autoloader system with modularization architecture and self-adaptive motion control ability for mass optical disks duplication includes four physical modules: a robot arm module with sensors and joints dedicates for delivering and picking up optical disks; an optical disk duplication tower has a number of optical drives in a stack, or a matrix for optical disk duplication; a motion control module has an embedded motion controller and a power source to synchronize the motion of robot arm and duplication; a platform module has a base frame to fix other modules and a user interface. Some disk stacks are situated on top of platform module. The use of a self-adaptive control algorithm, consisting of a Motion Strategy Database, Initial Process, Motion Planning Process, Motion Generation Process and Motion Monitor Process, to ascertain system configurations and components furthest satisfy the required flexibility for modifying/upgrading hardware or ever-changing user needs.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: November 2, 2010
    Assignee: Vinpower, Inc.
    Inventors: Mingji Lou, Chia Chun Cheng, Shuo Wei Chang, Weicheng Chu, Chin-han Chou