Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 7622008
    Abstract: A gate valve (20) for a semiconductor processing system includes a housing (21) forming a plurality of passages (22A to 22D) arrayed in a first direction. The passages respectively have ports (23A to 23D) facing a first predetermined side in a second direction perpendicular to the first direction. The ports are respectively provided with valve seats (25A to 25D) at gradually set back positions in the second direction, as being closer to a second predetermined side in the first direction. Valve plates (24A to 24D) are arrayed in the second direction to open/close the ports. The valve plates are slid by an actuating mechanism (30A to 30D).
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 7621714
    Abstract: An object of the present invention is to provide a clamping mechanism and the like, which have no likelihood of contacting the under surface of a pod when the pod is mounted on a mounting board at a loading port. To achieve the object, as an unit for clamping the pod, it is configured to comprise a clamp arm capable of nipping a clamped portion of the pod in its top end portion, a clamp member drive mechanism for performing the nipping and releasing operations of the clamp arm, and a lifting mechanism for moving up and down each clamp arm together the clamp member drive mechanism for the mounting board surface. At that time, an inclined surface having a predetermined angle for up and down directions is formed in the lower part end portion of the top end in at least one from among the clamp arms.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: November 24, 2009
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Tsutomu Okabe, Jun Emoto
  • Patent number: 7622006
    Abstract: A main carrying device forming a part of a processing system, comprising a casing (40) forming a main carrying chamber (44) having vacuum atmosphere, the casing (40) further comprising a plurality of transfer ports (52A, 52B) for transferring the processed body (W) between the carrying chamber 44 and the outside, a mobile body (58) is slidably installed on a guide rail (48) horizontally installed in the carrying chamber (44), a linear motor mechanism (54, 62) for moving the mobile body (58) along the guide rail (48) is installed, a holding body (64) for holding the processed body (W) is liftably connected to the mobile body (58) through a support member (66), and a lifting mechanism (74) for lifting the support member (66) relative to the mobile body (58) is installed in the casing (40) at a position corresponding to the transfer ports (52A, 52B).
    Type: Grant
    Filed: December 25, 2002
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Hiroaki Saeki
  • Patent number: 7614840
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: November 10, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7611322
    Abstract: There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: November 3, 2009
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Stuart Scollay, Edric Tong
  • Patent number: 7607880
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: October 27, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7591625
    Abstract: A carrying device which can prevent an object to be carried from being contaminated with dust and carry the object to a correct position. The present invention is constructed by first and second parallelogram linkages. The second parallelogram linkage is formed by using a link of the first parallelogram linkage, has four sides with an equal length, and is linearly extended or retracted along a linear guide. Links of the first parallelogram linkage and links of the second parallelogram linkage are configured to rotate while being in a restrained state of 90° at pivots of both ends of the common link of the first and second parallelogram linkage, respectively. An arm is provided at a pivot of an end of a link opposed to the link of the first parallelogram linkage such that the arm rotates in a restrained state of 90° relative to the link.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: September 22, 2009
    Assignees: ULVAC, Inc., Sowa MD Center Co., Ltd.
    Inventors: Hirofumi Minami, Kazuhiro Fujimura
  • Patent number: 7585383
    Abstract: The present invention provides a vacuum processing apparatus which is small-sized and requires a small installation area. The vacuum processing apparatus includes a vacuum container which has a processing chamber inside thereof, wherein the pressure inside the processing chamber is reduced and plasma used for processing a sample is formed inside the processing chamber, a bed portion which is arranged below the vacuum container and stores a device for supplying electricity and electric signals used for processing inside the vacuum container, and a transport chamber which is connected with the vacuum container and includes a transport device for transporting the sample inside thereof.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: September 8, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuuzou Oohirabaru, Akira Ueda
  • Patent number: 7585141
    Abstract: A load lock system includes a first load lock defining a first chamber, a second load lock defining a second chamber, and a vacuum pumping system to vacuum pump the first and second chambers. The vacuum pumping system includes a high vacuum pump, a first valve to connect the first chamber to an inlet of the high vacuum pump in a first pumping mode, and a second valve to connect the second chamber to the inlet of the high vacuum pump in a second pumping mode. The high vacuum pump may be a turbomolecular pump. The vacuum pumping system may include a valve manifold block located between the first and second load locks. The first and second valves may be mounted in the valve manifold block. The vacuum pumping system may further include a shared water pump.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: September 8, 2009
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan Evans, Douglas E. May
  • Patent number: 7585144
    Abstract: A variable lot size load port assembly is described having a tool interface, a port door, a latch key, an advance plate, and an elevator. The tool interface extends generally in a vertical dimension and has an aperture. The port door has a closed position wherein the port door at least partially occludes the aperture. The latch key extends from the port door and is configured to mate with a latch key receptacle of a door of a front opening unified pod (FOUP). The advance plate is configured to support a front opening unified pod (FOUP) and translate between a retracted position and an advanced position. The elevator raises and lowers the advance plate to bring the latch key receptacle of the door of the FOUP into alignment with the latch key of the port door.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: September 8, 2009
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Michael Krolak, Roger G. Hine, Theodore W. Rogers
  • Publication number: 20090146352
    Abstract: The invention relates to a vibration isolator for use in a vacuum in which mechanical and electrical modules are arranged within a fluid-tight chamber.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 11, 2009
    Applicant: INTEGRATED DYNAMICS ENGINEERING GMBH
    Inventor: Peter Heiland
  • Patent number: 7541061
    Abstract: A vacuum chamber used for processing articles, such as integrated circuit wafers, display panels, and the like, has a small load lock chamber formed at an opening in a wall of the chamber by a moveable article supporting surface within the chamber and a cover outside of the chamber. The supporting surface and cover are sealed to the chamber wall when urged against it. Articles placed into the load lock chamber, when the cover is opened, are moved into the vacuum chamber for processing by moving the supporting surface away from the wall after the cover has been closed and a vacuum established in the load lock chamber. Articles are removed from the vacuum chamber in a reverse manner. Various mechanisms are describe for moving the articles, including a particular robotic device that simultaneously swaps the positions of two articles between the supporting surface and a processing location within the vacuum chamber by first pulling the articles together and then rotating them in a half-circle.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: June 2, 2009
    Assignee: Edwards Vacuum, Inc.
    Inventor: Bruce Gordon Ramsay
  • Patent number: 7537425
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: May 26, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7534080
    Abstract: An apparatus for processing a work piece in a vacuum environment includes a master process chamber configured to be exhausted to a sub-atmospheric air pressure or to be filled with a desirable gas, a transfer chamber configured to receive the work piece from outside of the master process chamber, one or more processing stations inside the master process chamber, a rotation plate configured to receive the work piece and to move the work piece to receive one or more processing operations, and a first transport mechanism configured to transfer the work piece from the transfer chamber on to the rotation plate. The transfer chamber is at least partially enclosed in the master process chamber and can be vacuum sealed off from the master process chamber.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: May 19, 2009
    Assignee: Ascentool, Inc.
    Inventors: George Xinsheng Guo, Kai-An Wang
  • Patent number: 7531816
    Abstract: A charged particle beam examination equipment for examining and measuring a semiconductor wafer, comprising a wafer exchange portion for exchanging an unexamined wafer and an examiner wafer with each other, which has a first arm longitudinally sliding for reciprocation, a first wafer gripping part provided to the distal end of the first arm, for gripping/releasing the wafer, a second arm longitudinally sliding for reciprocation, and a second wafer gripping part provided to the distal end of the second arm, for gripping/releasing the wafer. The apparatus may shorten the time required for exchange of the wafers so as to enhance the throughput during examination and measurement of the wafers.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: May 12, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Saito, Shouji Tomida
  • Patent number: 7521089
    Abstract: Method and apparatus for controlling the migration of reaction by-product gases from a chemical vapor deposition (CVD) process chamber to a transfer vacuum chamber shared by other process chambers. Separate regulated flows of purge gas are provided to the CVD process chamber and the transfer vacuum chamber before establishing a pathway for substrate transfer. A pressure differential is created between the transfer vacuum chamber and the CVD process chamber that reduces or prevents the migration of CVD reaction by-product gases arising from the establishment of the substrate transfer pathway. While the pathway is established, a directional flow of purge gas is maintained from the transfer vacuum chamber into the CVD process chamber.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: April 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Joseph T. Hillman, John G. North, Steven P. Caliendo, John J. Hautala
  • Publication number: 20090060689
    Abstract: A substrate processing system includes a first load lock, a process chamber having a first opening to allow an exchange of a substrate between the first load lock and the first process chamber, first rollers in the process chamber; and second rollers in the first load lock, wherein the first rollers and the second rollers are configured to transport a substrate thereon through the first opening between the first load lock and the process chamber. The first rollers and the second rollers are not rotated by an active transport mechanism.
    Type: Application
    Filed: August 30, 2007
    Publication date: March 5, 2009
    Inventors: G. X. Guo, K. A. Wang
  • Patent number: 7491662
    Abstract: Substrate processing with return processing is carried out efficiently by a substrate processing apparatus that continuously processes a plurality of substrates. The apparatus is equipped with a conveyor chamber constituting a substrate convey space, a plurality of process chambers in which substrate processing is carried out, a substrate conveying device provided in the conveyor chamber having a function of conveying substrates, and a substrate convey control device that controls the process of substrate conveyance by the substrate conveying device so that in a case in which after a substrate is continuously processed by two or more process chambers, the substrate is re-conveyed from the last process chamber to any of the two or more process chambers other than the last and return processing is implemented. In the re-conveyance, the substrate is conveyed to any of the process chambers after being temporarily retracted to a place other than a process chamber.
    Type: Grant
    Filed: May 12, 2005
    Date of Patent: February 17, 2009
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Patent number: 7470098
    Abstract: An optical sensor for detecting the housing state such as the thickness of a substrate in the present invention is provided at supporting arms. The supporting arms are attached to a supporting shaft. The supporting arms are in a vertical state in a state before detection of the substrate, but when detecting, the supporting shaft rotates to bring the supporting arms into a horizontal state so that the optical sensor enters a substrate housing body and is set at a predetermined detection position. Accordingly, a space for moving the optical sensor in the horizontal direction becomes unnecessary to reduce the space required for the detecting operation and the like, making it possible to reduce the size of a substrate processing apparatus in which the detecting apparatus is incorporated.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: December 30, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Naruaki Iida
  • Publication number: 20080317581
    Abstract: A vacuum processing apparatus includes an outer chamber comprising a vacuum container, an inner chamber in which a plasma used for processing a wafer is generated, the inner chamber being detachably disposed inside of the outer chamber, a wafer holder on which the wafer is located is disposed inside of the inner chamber, and an exhausting device disposed below the wafer holder which exhausts the inside of the inner chamber. The inner chamber is sealed in air-tight manner with respect to a space between the inner chamber and the outer chamber while the space is maintained at a vacuum pressure.
    Type: Application
    Filed: August 28, 2008
    Publication date: December 25, 2008
    Inventors: Akitaka MAKINO, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 7458763
    Abstract: In a system having a number of semiconductor processing modules sharing a common vacuum environment, a mid-entry load lock is provided to permit insertion and removal of wafers into the vacuum environment at a point between various other robotic handlers, process modules, and load locks. This arrangement permits increased flexibility in scheduling when multiple wafers are processed concurrently.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: December 2, 2008
    Assignee: BlueShift Technologies, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 7431585
    Abstract: An apparatus for processing substrates is disclosed. In one embodiment, the apparatus includes a housing and a plurality of stacked cell structures in the housing. An actuator is adapted to move the plurality of stacked cell structures inside of the housing while substrates in the stacked cell structures are being heated.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Jun Zhao, David Quach, Timothy Weidman, Rick J. Roberts, Farhad Moghadam, Dan Maydan
  • Patent number: 7419346
    Abstract: An integrated system is disclosed for workpiece handling and/or inspection at the front end of a tool. The system comprises a rigid member of unitary construction such as a metal plate which mounts to the front of a tool associated with a semiconductor process. The front end components, including the load port assemblies, prealigners and workpiece handling robot, are mounted to the plate to provide precise and repeatable positioning of the front end components with respect to each other.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: September 2, 2008
    Assignee: Asyst Technologies, Inc.
    Inventors: Mark Danna, Roger G. Hine, Anthony C. Bonom
  • Publication number: 20080187413
    Abstract: A vacuum processing apparatus transfers a wafer between a first transfer arm and a second transfer arm via a rotary stage; acquires position data of a peripheral portion of the wafer by using a line sensor while rotating the rotary stage sustaining the wafer before a vacuum process thereon; calculates a center position and a direction of the wafer based on the position data; controls a rotation of the rotary stage to adjust the direction of the wafer based on the calculation result; and controls the second transfer arm such that the second transfer arm conveys the wafer to a wafer mounting member inside a vacuum processing chamber while allowing a center of the wafer to be aligned to a center of the mounting member based on the calculation result.
    Type: Application
    Filed: February 4, 2008
    Publication date: August 7, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Keisuke Kondoh
  • Patent number: 7399154
    Abstract: First and second load-lock mechanisms are installed in a vacuum chamber. An external arm and first and second robot arms are disposed outside of the vacuum chamber. The external arm can hold a process object and can carry the held process object either into the first load-lock mechanism or into the second load-lock mechanism. The first robot arm can transfer the process object between a stock site outside of the vacuum chamber and the first load-lock mechanism and between the stock site and the external arm. The second robot arm can transfer the process object between the stock site and the second load-lock mechanism and between the stock site and the external arm.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: July 15, 2008
    Inventor: Tadamoto Tamai
  • Patent number: 7400158
    Abstract: A test fixture has a base, a positioning board, multiple probes, a cushion board, multiple springs and multiple inner bolts. The base has a recess defined in the base. The positioning board is mounted in the recess. The probe is mounted on the positioning board and each probe has a top contacting end. The cushion board is mounted slidably in the recess and has a socket hole to hold a semi-finished chip package. The springs are mounted in the positioning board between the recess and the cushion board and press and bias the cushion board upward. The inner bolts are mounted slidably through the cushion and the springs and the are mounted securely in the base. The cushion board and springs reduce the impact from the semi-finished chip package to the probes so that the probes would not be damaged.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: July 15, 2008
    Assignee: Horng Terng Automation Co., Ltd.
    Inventor: Chun-Sheng Chen
  • Patent number: 7394520
    Abstract: A load lock for a lithographic apparatus is arranged to transfer an object, like a substrate, into and from the lithographic apparatus. The load lock outer wall defining at least part of a load lock volume accommodating a support unit for supporting the object when in the load lock. The load lock also has a temperature conditioned structure to control the temperature of the object to a desired temperature at least before the object is transferred from the load lock towards the lithographic projection apparatus.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: July 1, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Albert Jan Hendrik Klomp, Jan Frederik Hoogkamp, Raimond Visser, Josephus Cornelius Johannes Antonius Vugts, Henricus Johannes Louis Marie Vullings, Leo Wilhelmus Maria Kuipers, Johannes Hendrikus Gertrudis Franssen
  • Patent number: 7387484
    Abstract: An wafer positioning system comprises a wafer handling chamber with a vacuum sealable opening catching wafers. At least one processing chamber disposed adjacent to the wafer handling chamber has an opening catching wafers, sealed with a gate. A wafer transfer robot with a tray disposed in the wafer handling chamber transports wafers into the processing chambers. A signal receiver is disposed on the front end of the tray. When the tray passes below a wafer, the signal receiver detects wafer position, generating a wafer position signal. A control module receives the wafer position signal through the signal receiver and compares the wafer position signal with a predetermined position signal to correct any misalignment of the wafer.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: June 17, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Chien Ho, Jenn-Shiang Shieh
  • Patent number: 7384228
    Abstract: A robot arm is configured to insert and remove an object from a conditioned environment using a carrier connected to the robot arm. The robot arm is positioned in a conditionable vessel, a wall of which vessel may deform when the interior is conditioned. Since the trajectory of the robot arm needs to be accurate, the robot arm is flexibly coupled to the vessel wall such that an orientation of the robot arm is independent from an orientation of the vessel wall. Further, a docking system is disclosed for use in a device including a robot arm having a carrier connected thereto.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: June 10, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Leo Wilhelmus Maria Kuipers, Johannes Hendrikus Gertrudis Franssen
  • Patent number: 7381969
    Abstract: A control for pressurizing a load lock. The control initiates pressurization of the loadlock interior by coupling a source of gas to the loadlock interior. A representative load lock includes a pressure sensor and multiple valves to atmosphere where at least one such valves is a passthrough valve for removal of and insertion of workpieces from and into a load lock interior. A second fast acting valve also opens to atmosphere. A pressure rise inside the loadlock interior is monitored and when the pressure reaches a threshold pressure above atmosphere the fast acting valve is opened to atmosphere. This second fast acting valve is configured to relieve overpressure from the passthrough valve prior to opening of said passthrough valve. Workpiece movement is accomplished with the aid of a robot which reaches into the loadlock interior as it is either depositing workpieces or retrieving them.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: June 3, 2008
    Assignee: Axcelis Technologies, Inc.
    Inventors: Tariq Fasheh, James Carroll, Klaus Petry, Dale Stone, Lyudmila Stone, Dave Wiederspahn
  • Patent number: 7367769
    Abstract: PS control sections MC1, MC2 configured to independently control the operations in process ships PS1, PS2 are provided respectively, and an LM control section MC3 configured to control the operation in a loader module LM is provided independently. With this structure, the operations of the process ships PS1, PS2 and the loader module LM can be checked while the process ships PS1, PS2 are not coupled to the loader module LM.
    Type: Grant
    Filed: March 18, 2002
    Date of Patent: May 6, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Tomoyuki Ishii, Masahiro Numakura
  • Patent number: 7367139
    Abstract: This vacuum processing apparatus has a fixed processing chamber 24 and two movable load lock chambers 28a and 28b. A gate valve 26 is provided on the processing chamber 24, and gate valves 30 are respectively provided on the load lock chambers 28a and 28b. Each of the load lock chambers 28a and 28b is moved in a Y direction by a preparatory chamber moving mechanism 34. A vacuum seal 54, which is expandable and shrinkable so as to vacuum seal a gap G between the gate valves 26 and 30 which are set close to each other during the expansion, is provided around a peripheral edge portion of the processing chamber gate valve 26. Further, a substrate transporting mechanism for transporting a substrate 2 between the processing chamber 24 and each of the load lock chambers 28a and 28b set close thereto.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: May 6, 2008
    Assignee: Nissin Ion Equipment Co., Ltd.
    Inventors: Yasunori Ando, Masatoshi Onoda
  • Publication number: 20080101912
    Abstract: Methods for correcting motion of a robot are provided in the present invention. In one embodiment, a method for correcting motion of a robot includes transferring a first substrate supported on a robot to a processing position using a robotic motion routine, depositing a material on the first substrate in the processing position, determining an offset between a center of the deposited material and a center of the first substrate, adjusting the robotic motion routine to compensate for the offset. In another embodiment, a processing chamber is provided configured to obtain samples from which motion of a robot operated therein may be corrected to improve substrate placement on a substrate support through analysis of material deposited on the substrate.
    Type: Application
    Filed: October 26, 2006
    Publication date: May 1, 2008
    Inventors: Todd W. Martin, Steven V. Sansoni, Michael R. Rice, Eric Ng, Jeffrey C. Hudgens, Frederick Guckel, Russel Kaplan
  • Patent number: 7351292
    Abstract: An assembly for processing substrates, which processing comprises a vacuum deposition process, such as, for instance, sputtering, CVD or PECVD, which vacuum deposition process is carried out in at least one process chamber, the assembly being provided with a conveying device for moving the substrates from a vacuum lock to a process chamber, the conveying device, which extends in a vacuum space, permitting a continuous conveyance of a substrate adjacent the at least one process chamber and permitting an intermittent conveyance adjacent at least the at least one vacuum lock.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: April 1, 2008
    Assignee: OTB Group B.V.
    Inventors: Marinus F. J. Evers, Peter Briër, Leonardus P M Clijsen
  • Patent number: 7347656
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: March 25, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 7335277
    Abstract: A vacuum processing apparatus comprising a transfer unit disposed at a center thereof, plural processing chambers, each processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas; and a mass flow controller unit interposed between two processing chambers for supplying gas to the chambers.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: February 26, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 7331751
    Abstract: A vacuum processing method includes an atmospheric transfer step of transferring a wafer in atmospheric air to a vacuum transfer chamber using atmospheric transfer equipment disposed in atmospheric air, a vacuum transfer step of transferring the wafer received from the atmospheric transfer equipment to a position for a predetermined treatment within a vacuum processing chamber using vacuum transfer equipment disposed within the vacuum transfer chamber connecting the atmospheric transfer equipment and the vacuum processing chamber, a step of detecting the displacement of the wafer in a transverse direction with respect to a traveling direction near an ingress path of the wafer to the vacuum processing chamber by comparing a correct position of the wafer passing a line which is predetermined in advance with an actual position of said wafer being transferred by the vacuum transfer equipment, and a step of correcting the detected displacement of the wafer.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: February 19, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Naoyuki Tamura
  • Patent number: 7329299
    Abstract: It is an object of the present invention to provide a plate-shaped work piece transporting apparatus that has a fan, a dust-removal filter disposed above this fan, and a plate-shape porous member disposed above the dust-removal filter. By forming a chamber in which air can accumulate between the porous member and the dust-removal filter, it is possible to suppress air pulsations. Further, by providing support members on the porous member, the plate-shaped work piece can be supported and damage thereto can be avoided when the plate-shaped work piece, which is supported by air from the fan, drops to below a set height.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: February 12, 2008
    Assignee: Daifuku Co., Ltd.
    Inventors: Susumu Moriya, Shigeto Murayama, Yuichi Morimoto, Yoshiteru Ikehata, Takayoshi Ono
  • Publication number: 20080025823
    Abstract: A load lock chamber includes a chamber. A vacuum pump is connected to the bottom of the chamber through a pipe. A cooling pipe is buried in the upper part of the chamber. One and the other ends of the cooling pipe are connected with a refrigerant circulator. When the pressure in the chamber is reduced, the chamber continues to be cooled during the period between when the pressure in the chamber becomes lower than a threshold and immediately before the inside of the chamber is released to atmospheric pressure.
    Type: Application
    Filed: July 26, 2007
    Publication date: January 31, 2008
    Inventor: Masahiko Harumoto
  • Patent number: 7314068
    Abstract: While gas in a general storage container with no gas inlet and in the storage container with the gas inlet is replaced in a short time, the semiconductor wafer surface is cleaned. In an apparatus for replacing gas in a semiconductor wafer storage container which includes a lid unit and a storage container main body with a gas inlet, the apparatus includes gas introducing means for introducing the gas into the storage container main body, gas evacuating means for evacuating the gas in the storage container main body, and gas circulating means for circulating the gas in the storage container main body through a chemical adsorption filter. Gas introducing means for introducing the gas from a gap between the storage container main body and the lid unit while the lid unit is opened in the storage container mounting means is provided in the storage container with no gas inlet.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: January 1, 2008
    Assignee: Miraial Co., Ltd.
    Inventors: Ryuichi Nakano, Yukihiro Hyobu, Yoshihisa Okamoto
  • Patent number: 7293950
    Abstract: The present invention is a wafer transfer system that transports individual wafers between chambers within an isolated environment. In one embodiment, a wafer is transported by a wafer shuttle that travel within a transport enclosure. The interior of the transport enclosure is isolated from the atmospheric conditions of the surrounding wafer fabrication facility. Thus, an individual wafer may be transported throughout the wafer fabrication facility without having to maintain a clean room environment for the entire facility. The wafer shuttle may be propelled by various technologies, such as, but not limited to, magnetic levitation or air bearings. The wafer shuttle may also transport more than one wafer simultaneously. The interior of the transport enclosure may also be under vacuum, gas-filled, or subject to filtered air.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: November 13, 2007
    Assignee: Aysts Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7258520
    Abstract: A system for opening a substrate carrier includes a substrate carrier having an openable portion. The substrate carrier also has an opening mechanism coupled to the openable portion. A substrate transfer location has a support adapted to support a substrate carrier. The substrate transfer location also has an actuator mechanism. The actuator mechanism is positioned relative to the support so as to interact with the opening mechanism of the substrate carrier. The actuator mechanism of the substrate transfer location and the opening mechanism of the substrate carrier are adapted to interface with each other at the substrate transfer location so as to employ movement of the substrate carrier to achieve opening and closing of the substrate carrier.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: August 21, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Martin R. Elliott, Michael Robert Rice, Robert B. Lowrance, Jeffrey C. Hudgens, Eric Andrew Englhardt, Loy Randall Stuart
  • Publication number: 20070175395
    Abstract: A vacuum apparatus includes a first isolation chamber, a second isolation chamber, a vacuum source configured to extract air from the first and second isolation chambers, and an isolation valve unit, wherein the isolation valve unit is configured to close a flow path between the vacuum source and the first isolation chamber before opening a flow path between the vacuum source and the second isolation chamber when the first isolation chamber is in a vacuum state and the second isolation chamber is at a pressure higher than that of the first isolation chamber.
    Type: Application
    Filed: January 26, 2007
    Publication date: August 2, 2007
    Inventor: Sang-Do Oh
  • Patent number: 7247207
    Abstract: A vacuum processing apparatus includes a vacuum processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas. The vacuum processing chamber has an axisymmetric structure, including a double wall structure, and a gate valve for sealing an opening through which the object enters the processing chamber.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: July 24, 2007
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 7246984
    Abstract: A transferring apparatus transfers an article to be processed, which is carried by a carrier device, to a holder provided in a processing chamber defined by a processing vessel and adapted to hold the article at a specified processing position. The transferring apparatus includes a temporarily holding member, and transfers the article from the intermediate position to the processing position after receiving the article carried by the carrier device at an intermediate position distanced from the holder and temporarily holding it. The temporarily holding member is retracted to a position in the outside of the processing vessel after the article is transferred.
    Type: Grant
    Filed: March 16, 2004
    Date of Patent: July 24, 2007
    Assignees: Kabushiki Kaisha Kobe Seiko Sho, Dainippon Screen Mfg. Co., Ltd.
    Inventors: Shogo Sarumaru, Hideshi Yamane, Katsumi Watanabe, Hiroyuki Ueno, Hideki Adachi, Eiji Fukatsu
  • Patent number: 7246985
    Abstract: A transfer system for use with a tool for processing a work-piece at low or vacuum pressure such as an ion implanter for implanting silicon wafers. An enclosure defines a low pressure region for processing of work-pieces placed at a work-piece processing station within the low pressure region. A two tier multiple work-piece isolation load lock transfers work-pieces from a higher pressure region to the lower pressure for processing and back to said higher pressure subsequent to said processing. A first robot transfers work-pieces within the low pressure region from the load locks to a processing station within the low pressure region. Multiple other robots positioned outside the low pressure region transfers work-pieces to and from the two tier work-piece isolation load locks from a source of said work-pieces prior to processing and to a destination of said work-pieces after said processing.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: July 24, 2007
    Assignee: Axcelis Technologies, Inc.
    Inventor: Joseph Ferrara
  • Publication number: 20070166133
    Abstract: Embodiments of the invention include a chamber body having at least one of a top or bottom decoupled from the sidewalls of the chamber body. The invention is suitable for use as a load lock chamber, substrate transfer chamber and vacuum processing chambers, among others.
    Type: Application
    Filed: January 13, 2006
    Publication date: July 19, 2007
    Inventors: Jae-Chull Lee, Shinichi Kurita, John M. White, Suhail Anwar
  • Patent number: 7245987
    Abstract: At a time Tp when a wafer W is transferred into either a load lock chamber LL1 or LL2, periods PSL for the load lock chambers LL1 and LL2 to get ready to permit a transfer of a next wafer W thereinto are calculated based on a timing for exchange of wafers W between the load lock chamber LL1 or LL2 and a loader module LM. When the periods PSL are calculated, a loader arm LA1 or LA2 selects a next wafer W having the shortest period to get ready to be transferable into the load lock chamber LL1 or LL2, from load ports LP1 to LP3. This improves transfer delay in a cluster tool provided with the load lock chambers.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: July 17, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Kiyohito Iijima, Seiichi Kaise, Keiko Takahashi, Akira Obi
  • Patent number: 7244086
    Abstract: A vacuum transport chamber has a transport robot arrangement. A processing arrangement has at least one processing station communicating by at least one workpiece pass-through opening with the vacuum transport chamber. A loadlock arrangement communicates by at least one workpiece pass-through opening with an atmosphere outside the vacuum transport chamber and the processing arrangement. One single loadlock and processing tower is formed by the processing arrangement and the loadlock arrangement being arranged vertically on upon the other.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: July 17, 2007
    Assignee: OC Oerlikon Balzers AG
    Inventors: Rainer Ostermann, Arthur Buechel, Mustapha Elyaakoubi
  • Patent number: 7236229
    Abstract: A load lock chamber provided between a port that accommodates an object to be processed and is maintained at an ambient pressure, and a process chamber that is maintained at a reduced pressure or vacuum environment and performs a predetermined process for the object, said load lock chamber replacing an atmosphere in said load lock chamber and delivering the object between the port and the process chamber includes a first load lock chamber that includes a first holder for holding the object received from the port, and a second load lock chamber that includes a second holder for holding the object received from the process chamber, wherein the first holder holds more objects than the second holder.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: June 26, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ryo Edo