Vapor Deposition Patents (Class 427/109)
  • Patent number: 6689203
    Abstract: Composition containing a chlorinated organotin derivative and a polyfluoroalkenyl compound and/or a halo polyfluoroalkenyl compound useful for CVD formation of fluorine doped tin oxide coatings.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: February 10, 2004
    Inventor: Gilles Merienne
  • Patent number: 6679977
    Abstract: A method for producing flat panels for TFT or plasma display applications includes forming a sputter source within a sputter coating chamber, the source having at least two electrically mutually isolated stationery bar-shaped target arrangements. A controlled magnet arrangement provided under each target with a time-varying magnetron field.
    Type: Grant
    Filed: September 6, 2002
    Date of Patent: January 20, 2004
    Assignee: Unakis Trading AG
    Inventors: Walter Haag, Pius Grunenfelder, Urs Schwendener, Markus Schlegel, Siegfried Krassnitzer
  • Patent number: 6641937
    Abstract: The present invention is for a transparent conductive film of nitrogen-containing indium tin oxide 5 nm to 100 &mgr;m thick formed on a substrate. The process for producing the transparent film includes exciting the surface of the substrate in a vacuum and depositing vaporized indium tin oxide on the surface of the substrate. The surface may be excited with irradiation with an ion beam. The indium tin oxide may be deposited through vacuum deposition, laser abrasion, ion plating, ion beam deposition, or chemical vapor deposition. Vapor deposition of indium tin oxide may be performed using a sintered product of indium oxide and tin oxide or with indium metal and tin metal.
    Type: Grant
    Filed: November 9, 2000
    Date of Patent: November 4, 2003
    Assignee: Agency of Industrial Science and Technology
    Inventors: Masato Kiuchi, Kensuke Murai, Shigeharu Tamura, Norimasa Umesaki, Jiro Matsuo, Isao Yamada
  • Patent number: 6630058
    Abstract: A tensile force is applied to at least a surface of a film to which a conductive material is applied within an elastic limit by a tensile force applying means, the conductive material is applied to a first surface of the film by a coating means in a tensioned state, and thereafter the tensile force is released by a tensile force releasing means to form the film having a conductive sheet for a touch-panel. Preferably, the tensile force applying means is a barrel-shaped roller.
    Type: Grant
    Filed: February 2, 2001
    Date of Patent: October 7, 2003
    Assignee: Fujitsu Takamisawa Component Limited
    Inventor: Toru Muraoka
  • Patent number: 6610374
    Abstract: A thin film layer can be formed on a glass substrate by preheating the substrate, depositing an amorphous silicon precursor layer on the substrate at a first temperature, and annealing the substrate in a thermal processing chamber at a second temperature sufficiently higher than the first temperature to substantially reduce the hydrogen concentration in the precursor layer. The preheating and annealing steps can occur in the same thermal processing chamber. Then the precursor layer is converted to a polycrystaline silicon layer by laser annealing.
    Type: Grant
    Filed: September 10, 2001
    Date of Patent: August 26, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Chuang-Chuang Tsai, Takako Takehara, Regina Qiu, Yvonne LeGrice, William Reid Harshbarger, Robert McCormick Robertson
  • Patent number: 6602541
    Abstract: A process for depositing an antimony-containing coating upon a surface of a heated glass substrate includes dissolving an antimony halide in an organic solvent to form an antimony halide containing solution. This solution is then vaporized to form a gaseous antimony precursor. The gaseous antimony precursor is then directed toward and along the surface of the heated glass substrate. The antimony precursor is reacted at or near the surface to form an antimony containing coating.
    Type: Grant
    Filed: March 3, 2000
    Date of Patent: August 5, 2003
    Assignee: Libbey-Owens-Ford Co.
    Inventors: Richard J. McCurdy, Michel J. Soubeyrand, David A. Strickler
  • Patent number: 6579422
    Abstract: A whole organic EL display fabricating apparatus is provided inside a vacuum chamber. In this case, a first patterning unit B through a third patterning unit D for sequentially forming luminescent layer patterns of GREEN, BLUE, and RED on an anode pattern on a strip-shaped flexible substrate 1, and a fourth patterning unit E for forming a cathode pattern on the subsequent stage are provided. The first patterning unit B is provided with a first cooling can 21 and a vacuum vapor deposition unit below for forming the luminescent layer pattern of GREEN. The structures of the second patterning unit through the fourth patterning unit are similar to that of the first patterning unit. In fabricating a display, the substrate 1 is caused to travel from the first cooling can 21 toward a fourth cooling can 64 by the roll-to-roll system.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: June 17, 2003
    Assignee: Sony Corporation
    Inventor: Masayasu Kakinuma
  • Patent number: 6447963
    Abstract: A light quantity correction filter that can implement the desired transmittance distribution precisely and easily without complicating an exposure system, a method of making the light quantity correction filter, and a method of manufacturing a color cathode ray tube using the light quantity correction filter are provided.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: September 10, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hiromi Wakasono
  • Patent number: 6338882
    Abstract: A method for applying a light-blocking layer between a photoconducting layer and a mirror when making an optically addressable spatial light modulator (OASLM) using a chemical vapor deposition process. The light-blocking layer and the photoconducting layer are applied in a shared process step in which both the thickness and composition of the photoconducting layer to be applied to the transparent electrode, as well as the thickness and composition of the light-blocking layer to be applied to the photoconducting layer are determined by a time-related change of the variation of the gas composition during the deposition process. The structure of the OASLM can be optimally adapted to a desired purpose.
    Type: Grant
    Filed: March 23, 2000
    Date of Patent: January 15, 2002
    Assignee: Deutsche Telekom AG
    Inventors: Wolfgang Dultz, Wolfgang Haase, Leonid Beresnev, Elena Konshina, Arkadii Onokhov
  • Patent number: 6337771
    Abstract: An anti-reflection high conductivity multi-layer coating for CRT products includes three layers coating created by vacuum sputtering and a fourth layer coating created by wet coating process. A first layer, nearest to the substrate, is made of a transparent conductive oxide material having a refractive index within the approximating range of 1.85 to 2.1 at a wavelength of 520 nm. The second layer is formed from an oxide material having a refractive index within the range of 1.45 to 1.50 at a wavelength of 520 nm. The third layer is formed of an oxide material having a refractive index within the range of 1.85 to 2.2 at a wavelength of 520 nm. The fourth layer has a refractive index within the range of 1.45 to 1.55 at a wavelength of 520 nm.
    Type: Grant
    Filed: May 3, 2000
    Date of Patent: January 8, 2002
    Assignee: Applied Vacuum Coating Technologies Co., Ltd.
    Inventors: Jau-Jier Chu, Jau-Sung Lee
  • Patent number: 6284106
    Abstract: A method for producing flat panels for TFT or plasma display applications includes forming a sputter source within a sputter coating chamber, the source having at least two electrically mutually isolated stationery bar-shaped target arrangements. A controlled magnet arrangement provided under each target with a time-varying magnetron field.
    Type: Grant
    Filed: June 5, 2000
    Date of Patent: September 4, 2001
    Assignee: Unaxis Trading AG
    Inventors: Walter Haag, Pius Grunenfelder, Urs Schwendener, Markus Schlegel, Siegfried Krassnitzer
  • Patent number: 6265077
    Abstract: A ceramic article having a base made of an insulating ceramic material, the insulating ceramic material includes a metal element, and a conductive surface layer provided on the base, wherein the conductive surface layer includes carbon atoms as its main component, and wherein the conductive surface layer further includes silicon atoms and atoms of the metal element present in the insulating ceramic material.
    Type: Grant
    Filed: March 2, 1999
    Date of Patent: July 24, 2001
    Assignee: NGK Insulators, Ltd.
    Inventors: Mitsuji Ikeda, Masao Nishioka, Naotaka Katoh
  • Patent number: 6235165
    Abstract: A light quantity correction filter that can implement the desired transmittance distribution precisely and easily without complicating an exposure system, a method of making the light quantity correction filter, and a method of manufacturing a color cathode ray tube using the light quantity correction filter are provided.
    Type: Grant
    Filed: June 30, 1998
    Date of Patent: May 22, 2001
    Assignee: Matsushita Electronics Corporation
    Inventor: Hiromi Wakasono
  • Patent number: 6153063
    Abstract: In a phase-change recording medium, a recording medium is provided with a barrier layer including Ge--N, Ge--N--O between a recording layer and a dielectric protective layer in order to prevent a chemical reaction and an atom diffusion between the recording layer and the dielectric protective layer. A barrier material can be also applied to the protective layer itself. Thereby, it is possible to considerably suppress a reduction of a reflectivity and a reduction of a signal amplitude due to the repeat of recording and erasing, such reductions being observed in a conventional phase-change optical information recording medium, and thereby the number of overwriting times can be increased.
    Type: Grant
    Filed: July 29, 1998
    Date of Patent: November 28, 2000
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Noboru Yamada, Mayumi Otoba, Kenichi Nagata, Katsumi Kawahara
  • Patent number: 6136386
    Abstract: An object such as an automobile part, an image forming apparatus part, a bicycle part, other machine parts, a sport article or its part, a toy or its part, or a rain article or its part has a portion to be in contact with a contact object. The contact portion is made of at least one kind of material selected from a group including polymer material such as resin or rubber as well as glass, and the contact portion has a surface entirely or partially coated with a carbon film (typically, a DLC film) having a wear resistance as well as at least one of a lubricity, a water repellency and a gas barrier property. The carbon film is formed on the object with a good adhesion.
    Type: Grant
    Filed: June 27, 1997
    Date of Patent: October 24, 2000
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Takahiro Nakahigashi, Akira Doi, Yoshihiro Izumi, Hajime Kuwahara
  • Patent number: 6106892
    Abstract: A method of depositing a silicon oxide coating on a hot glass substrate by chemical vapor deposition which comprises contacting the substrate with a silane and a phosphorus or boron ester, for example, triakylphosphite or trialkylborate, preferably triethylphosphite. Preferably, the method is performed during the formation of glass by the float glass production process.
    Type: Grant
    Filed: May 20, 1998
    Date of Patent: August 22, 2000
    Assignee: Pilkington Plc
    Inventor: Liang Ye
  • Patent number: 6022598
    Abstract: A uniform film of sapphire and tungsten is deposited onto a surface of a substrate using the ionized cluster beam ("ICB") apparatus. During ICB deposition, a tungsten crucible containing sapphire is heated until a vapor of sapphire and tungsten is formed. The tungsten crucible is heated to form a tungsten vapor, which causes the crucible material to mix with the sapphire, thereby forming a vapor mixture of sapphire and tungsten. The vapor is ejected through a small nozzle into a vacuum region. The resulting adiabatic expansion of the vapor promotes formation of atomic clusters. Some of the clusters are ionized, and electrons are stripped off the clusters. The clusters are accelerated toward the substrate, which is also within the vacuum region. The clusters impact the surface of the substrate, where they are deposited to form the uniform sapphire/tungsten film. The film is deposited in an sapphire (aluminum oxide)/tungsten ratio of 2:1. The film has a relatively high index of refraction of approximately 2.
    Type: Grant
    Filed: April 16, 1998
    Date of Patent: February 8, 2000
    Assignee: United Technologies Corporation
    Inventors: Scott M. Tyson, Richard Y. Kwor, Leonard L. Levenson, deceased
  • Patent number: 6017581
    Abstract: A fixture for releasably retaining at least one lenticular article in a fixed orientation while the article(s) is/are being subjected to one or more applications of a thin film coating process comprises a perforated metallic sheet having at least one aperture therein adapted to receive the article(s). The thickness of the metal fixture bears a ratio to the size of the articles being retained that is within a particular range. A method for applying thin film optical coatings to lenticular articles utilizing such a fixture is also disclosed.
    Type: Grant
    Filed: April 25, 1997
    Date of Patent: January 25, 2000
    Assignee: Semi-Alloys Company
    Inventors: Daniel Roy Hooker, Daniel Matthew Hogan, Vincent O. Scarnecchia
  • Patent number: 6013310
    Abstract: A thin film semiconductor device including an insulating substrate; and a structure provided on the insulating substrate and including a silicon layer containing hydrogen diffused therein and a silicon nitride layer. The insulating substrate is formed of an insulating material having a thermal expansion coefficient of 2.6.times.10.sup.-6 deg.sup.-1 or more or having a distortion point of 850.degree. C. or lower.
    Type: Grant
    Filed: September 24, 1997
    Date of Patent: January 11, 2000
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yoshihumi Yaoi, Yoko Katsuya, Shuhei Tsuchimoto
  • Patent number: 6004618
    Abstract: Fabrication of an electroluminescent device having a high-quality luminescent layer is disclosed. The device emits intense blue light. A first electrode layer, a first dielectric layer, the luminescent layer, a second dielectric layer, and a second electrode layer are successively formed on a glass substrate. At least the exit side of the device is made from an optically transparent material. A first gaseous source material of a group II element belonging to group II of the periodic table, a second gaseous source material of a group VIB element belonging to group VIB, and a third gaseous source material of an element forming the luminescent center of the luminescent layer are supplied into a reaction furnace through first, second, and third gas supply tubes, respectively, and caused to react with each other. Thus, the luminescent layer is formed by chemical vapor deposition. The first material acts as a base material from which the luminescent layer is formed.
    Type: Grant
    Filed: April 30, 1997
    Date of Patent: December 21, 1999
    Assignee: Nippondenso., Ltd.
    Inventors: Atsushi Mizutani, Masayuki Katayama, Nobuei Ito, Tadashi Hattori
  • Patent number: 5948199
    Abstract: A method of manufacturing surface relief holograms wherein an original surface relief hologram in the form of an endless loop or a drum is continuously coated with a radiation-curable resin. After curing, the resin bears a replica of the original hologram and it is continuously drawn off from the original as a sheet or film. The replica film becomes a hot-stamping foil when formed in contact with a carrier film, metallized, and coated with a hot-stamping adhesive.
    Type: Grant
    Filed: September 17, 1997
    Date of Patent: September 7, 1999
    Inventor: Stephen Paul McGrew
  • Patent number: 5945177
    Abstract: A process for coating an exterior of a lamp is disclosed, which comprises performing the coating in a microwave reactor by a microwave plasma CVD process and coupling microwave radiation into the microwave reactor with a microwave power greater than or equal to a power threshold value at which a plasma with reduced microwave permeability is ignited in the microwave reactor.
    Type: Grant
    Filed: December 16, 1997
    Date of Patent: August 31, 1999
    Assignee: Schott Glaswerke
    Inventors: Hrabanus Hack, Torsten Holdmann, Volker Paquet, Johannes Segner
  • Patent number: 5922396
    Abstract: Electron transporting layers comprised of organic free radicals are disclosed for use as the electron transporting layer in multi-layer structures that are useful for fabricating organic light emitting devices (OLEDs). For example, the multi-layer structure may include an electron transporting layer containing an organic free radical comprised of a multi-aryl-substituted cyclopentadienyl free radical of formula (I): ##STR1## wherein Ar.sub.1, Ar.sub.2, Ar.sub.3, Ar.sub.4 and Ar.sub.5 each are, independently of the other hydrogen, an alkyl group or an unsubstituted or substituted aromatic group. More specifically, included among these materials are those which are comprised of an electron transporting material based on, the pentaphenylcyclopentadienyl Cp.sup..phi..
    Type: Grant
    Filed: February 18, 1998
    Date of Patent: July 13, 1999
    Assignee: The University of Southern California
    Inventor: Mark E. Thompson
  • Patent number: 5897924
    Abstract: A process for depositing an adherent polycrystalline diamond thin film on a glass substrate, by chemical vapor deposition (CVD) at 1 to 15 torr and low temperatures of the substrate of between about 350 to 600.degree. C. using hydrogen and methane and optionally carbon dioxide. The substrate has diamond particles deposited on it or is polished with diamond particles prior to CVD. The process produces films which are clear and adherent.
    Type: Grant
    Filed: March 28, 1997
    Date of Patent: April 27, 1999
    Assignee: Board of Trustees operating Michigan State University
    Inventors: Michael J. Ulczynski, Donnie K. Reinhard, Jes Asmussen
  • Patent number: 5865865
    Abstract: A process for production of a glass substrate coated with a patterned Nesa glass membrane which comprises, in sequence:the first step of coating a photoresist on a glass substrate to form a photoresist membrane, exposing the membrane to electromagnetic waves through a mask and then developing the photoresist to form a patterned photoresist membrane on the glass substrate;the second step of forming a Nesa glass membrane on the entire surface of the glass substrate thus provided with the patterned photoresist membrane; andthe third step of removing the patterned photoresist membrane together with the Nesa glass membrane thereon from the glass substrate to leave a patterned Nesa glass membrane on the glass substrate.
    Type: Grant
    Filed: August 18, 1995
    Date of Patent: February 2, 1999
    Assignee: Honjo Sorex Co., LTD
    Inventors: Gohei Yoshida, Toshio Minamigawa, Johji Matsumura
  • Patent number: 5861197
    Abstract: A plasma enhanced chemical vapor deposition process for depositing conformal silicon oxide thin films useful to make thin film transistors which have stable electrical properties and low charge centers onto a substrate comprising flowing a precursor gas mixture of silane and nitrous oxide, the latter at a high rate, at a pressure of at least about 0.8 torr and a temperature of from about 250.degree. to 350.degree. C. The effective volume of the reaction region between the gas manifold inlet and the substrate during processing is kept small.
    Type: Grant
    Filed: October 23, 1996
    Date of Patent: January 19, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Kam Law, Robert Robertson, Guofu Jeff Feng
  • Patent number: 5851602
    Abstract: A plasma enhanced chemical vapor deposition process for depositing conformal silicon oxide thin films useful to make thin film transistors which have stable electrical properties and low charge centers onto a substrate comprising flowing a precursor gas mixture of silane and nitrous oxide, the latter at a high rate, at a pressure of at least about 0.8 torr and a temperature of from about 250.degree. to 350.degree. C. The effective volume of the reaction region between the gas manifold inlet and the substrate during processing is kept small.
    Type: Grant
    Filed: August 26, 1996
    Date of Patent: December 22, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Kam Law, Robert Robertson, Jeffrey Feng
  • Patent number: 5804255
    Abstract: The invention provides a method of producing tranparent and conductive ultrathin films of metal carbide or metal nitride on a glass, ceramics or organic polymer substrate, which comprises the steps of exciting a surface of said substrate by irradiating said surface with a carbon or nitrogen ion beam; simultaneously vapor-depositing a transition metal onto said surface to form a carbide or nitride layer; and terminating the excitation and the vapor-deposition when the thickness of the metal carbide or nitride layer is in the range of 1 nm to 50 nm, and the light permeability of the metal carbide or nitride layer is in the range of 30% to 90%, wherein the conductivity of the metal carbide or nitride layer is in the range of 1 k.OMEGA./.quadrature. To 100 k.OMEGA./.quadrature..
    Type: Grant
    Filed: February 29, 1996
    Date of Patent: September 8, 1998
    Assignee: Agency of Industrial Science and Technology
    Inventors: Masato Kiuchi, Akiyoshi Chayahara
  • Patent number: 5798142
    Abstract: A method of pyrolytically forming a silica-containing coating on a glass substrate at an elevated temperature. Silane, oxygen, a radical scavenger gas and a carrier gas are combined as a precursor mixture, and the precursor is directed toward and along the surface of the heated glass substrate. The presence of the radical scavenger allows the silane, which is pyrophoric, to be premixed with the oxygen without undergoing ignition and premature reaction at the operating temperatures. The radical scavenger further provides control of and permits optimization of the kinetics of the chemical vapor deposition (CVD) reaction on the glass. A preferred combination of precursor materials includes monosilane and oxygen, with ethylene as the radical scavenger, and including nitrogen as a carrier gas.
    Type: Grant
    Filed: July 29, 1996
    Date of Patent: August 25, 1998
    Assignee: Libbey-Owens-Ford Co.
    Inventor: Michel J. Soubeyrand
  • Patent number: 5744215
    Abstract: Surface haze resulting from a rough surface of a substrate e.g. a fluorine doped tin oxide coated glass piece is reduced by coating the rough surface with a smooth surface coating of sufficient thickness to smooth out the rough surface e.g. coating the tin oxide layer with a silica film having a thickness of about 100 to 2000 Angstroms.
    Type: Grant
    Filed: January 4, 1996
    Date of Patent: April 28, 1998
    Assignee: PPG Industries, Inc.
    Inventor: George A. Neuman
  • Patent number: 5725904
    Abstract: Liquid methyltin halide compositions and their use as intermediates in chemical synthesis and as precursors for forming tin oxide coatings on substrates are disclosed.
    Type: Grant
    Filed: June 2, 1995
    Date of Patent: March 10, 1998
    Assignee: Elf Atochem North America, Inc.
    Inventor: William Albert Larkin
  • Patent number: 5723172
    Abstract: A method for producing a coated glass or ceramic article having a surface formed primarily from silicates, comprising the steps of contacting the uncoated glass or ceramic article in a gaseous atmosphere at a pressure of at least 700 Tort with a silane and a carbon source for a sufficient time at a temperature of less than 35.degree. C. to form a coating on and in the glass surface, wherein the coating comprises a silicon-carbide-containing layer having a thickness of at least 100 angstroms and containing 35 to 55 atom % carbon, between 15 and 30% silicon, no more than 10% elements other than silicon, oxygen, and carbon, and the remainder oxygen. Glass and ceramic surfaces having the indicated compositions are also part of the invention.
    Type: Grant
    Filed: March 11, 1994
    Date of Patent: March 3, 1998
    Assignee: Dan Sherman
    Inventor: Dan Sherman
  • Patent number: 5698262
    Abstract: Fluorine doped tin oxide coatings on glass are prepared by providing a uniform, vaporized reactant mixture containing an organotin compound, HF, water and oxygen, and delivering the reactant mixture to the surface of the hot ribbon of glass, where the compounds react to form the fluorine doped tin oxide coating. The fluorine doped tin oxide coatings applied in accordance with the invention exhibit lower sheet resistance, and improved uniformity in sheet resistance over the coated surface of the glass.
    Type: Grant
    Filed: May 6, 1996
    Date of Patent: December 16, 1997
    Assignees: Libbey-Owens-Ford Co., Pilkington PLC
    Inventors: Michel J. Soubeyrand, Anthony C. Halliwell
  • Patent number: 5670212
    Abstract: A conjugated poly(p-phenylene vinylene) is manufactured by means of CVD and using simple monomers. Such a polymer is particularly adaptable for use as an active layer in electroluminescent devices, such as a light-emitting diode.
    Type: Grant
    Filed: May 17, 1995
    Date of Patent: September 23, 1997
    Assignee: U.S. Philips Corporation
    Inventors: Aemilianus G. J. Staring, Dirk J. Broer, Robert J. C. E. Demandt
  • Patent number: 5665424
    Abstract: A method of applying a protective coating (15) onto a surface (17) to be coated. The method includes providing a surface (17) to be coated, where the surface to be coated can be selected from a group consisting of a ceramic, a float glass, an artistic glass, a sandblasted glass, and a Pyrex glass product. The method also includes enclosing the surface to be coated in a temporary chamber. A step of introducing a silane and a carbon source into the temporary chamber is also included. The method further includes reacting the silane and the carbon source on the surface to be coated to form a surface coating (15) thereon.
    Type: Grant
    Filed: October 16, 1995
    Date of Patent: September 9, 1997
    Inventor: Dan Sherman
  • Patent number: 5635245
    Abstract: The coated substrate product finds particular application in eyeglass and sunglass lenses, architectural glass, analytical instrument windows, automotive windshields and laser bar code scanners for use in retail stores and supermarkets. The product has greatly improved wear resistance for severe abrasive environments and comprises a substantially optically transparent substrate, one or more chemically vapor deposited interlayers bonded to the substrate and a chemically vapor deposited outer layer of optically transparent or substantially optically transparent hard and low friction material bonded to the interlayer and away from the substrate.
    Type: Grant
    Filed: January 18, 1996
    Date of Patent: June 3, 1997
    Assignee: Monsanto Company
    Inventors: Fred M. Kimock, Bradley J. Knapp, Steven J. Finke
  • Patent number: 5620924
    Abstract: A method of manufacturing a semiconductor device according to the present invention comprises the steps of forming a conductive film on an insulating film, forming growth nucleuses containing any of elements in group IIIb, group IVb, group Vb and group VIIb that does not constitute the conductive film and the insulating film on the surface of the conductive film, and growing a semiconductor selectively on growth nucleuses.
    Type: Grant
    Filed: March 22, 1995
    Date of Patent: April 15, 1997
    Assignee: Fujitsu Limited
    Inventors: Yutaka Takizawa, Ken-ichi Yanai
  • Patent number: 5607725
    Abstract: A method for applying a coating to a substrate comprises a gas distributor having an outlet disposed adjacent to the substrate for directing a gaseous reactant mixture to the surface of the substrate. A plurality of drop tubes are provided which communicate with and are spaced along the length of the distributor for supplying the gaseous reactant mixture to the distributor. The uniformity of the thickness of the coating which is applied to the substrate along its width is determined. Finally, one or more of the reactants or an inert gas is supplied to one or more of the drop tubes to alter the concentration of one or more of the reactants in the gaseous reactant mixture flowing through one or more drop tubes. The rate of deposition of the coating in the proximity of such drop tubes is thereby altered to improve the uniformity of the coating deposited.
    Type: Grant
    Filed: March 1, 1995
    Date of Patent: March 4, 1997
    Assignee: Libbey-Owens-Ford Co.
    Inventor: Ronald D. Goodman
  • Patent number: 5591480
    Abstract: One method for fabricating solderable pads (406) onto a substrate (220) for direct chip attachment uses a multilayer metallization coating (500). The coating has a bottom layer (202) of indium-tin oxide, with an intermediate layer (204) of copper and a top layer (206) of indium-tin oxide. A masking layer (208) is deposited on the active display area (402) of the substrate, leaving the bonding pads uncovered. The revealed bonding pads are then plasma etched, using the polyimide as an etch resist, and the top layer of ITO is selectively removed to reveal the underlying copper layer. The exposed copper layer (204) is then plated with a solderable metal to the desired thickness to form bonding pads that may be used with direct chip attachment schemes.
    Type: Grant
    Filed: August 21, 1995
    Date of Patent: January 7, 1997
    Assignee: Motorola, Inc.
    Inventors: Douglas H. Weisman, Thomas J. Swirbel, John K. Arledge
  • Patent number: 5582879
    Abstract: In a method of manufacturing a thin film, evaporated particles are generated by a vapor source and are clustered. The clustered evaporated particles are deposited onto a substrate in a vacuum atmosphere without ionizing the particles. A partial pressure of water in the vacuum atmoshpere is controlled to not more than 5.times.10.sup.-6 Torr. A temperature of the substrate is maintained to be 150.degree. C. or lower. A film according to this method has a high adhesion characteristics and a high mechanical strength without heating the substrate to a high temperature and without damaging the substrate by ions.
    Type: Grant
    Filed: November 4, 1994
    Date of Patent: December 10, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hidehiko Fujimura, Mitsuharu Sawamura, Makoto Kameyama, Akihiko Yokoyama
  • Patent number: 5554710
    Abstract: A conductive polymer comprises a silicon-containing polymer having, in the main chain thereof, Si--Si bonds or both Si--Si bonds and C--C multiple bonds, and ferric chloride doped in said polymer through vapor phase doping. A method for preparing such a conductive polymer is also described.
    Type: Grant
    Filed: February 17, 1994
    Date of Patent: September 10, 1996
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shigeru Mori, Motoo Fukushima, Eiichi Tabei, Yoshitaka Hamada, Seizi Katayama
  • Patent number: 5529863
    Abstract: A method for fabricating solderable pads (106) onto a glass substrate (101) includes the step of depositing a seed metallization layer (step 406) after the polyimide layer is cured (step 404) but prior to buffing the alignment layer (step 414). The seed metallization layer can done by, for example, sputter depositing indium-tin, tin or copper.
    Type: Grant
    Filed: July 17, 1995
    Date of Patent: June 25, 1996
    Assignee: Motorola, Inc.
    Inventors: Thomas J. Swirbel, John K. Arledge
  • Patent number: 5496583
    Abstract: The present invention relates to the production of hydrogen fluoride dopant source gases for use in the production of conductive coatings on a substrate. More specifically, a fluorocarbon source gas is decomposed in the presence of oxygen to yield HF which is passed to a deposition furnace wherein a fluoride doped metal oxide coated glass substrate is prepared.
    Type: Grant
    Filed: August 29, 1994
    Date of Patent: March 5, 1996
    Assignee: Amoco/Enron Solar
    Inventors: Kai W. Jansen, Benjamin F. Fieselmann
  • Patent number: 5464657
    Abstract: A method for coating a moving substrate provides a coating having a chemical composition which varies continuously from the interface with the substrate to the opposite surface of the coating. The method involves directing a vapor coating composition toward a substrate surface and moving portions of the vapor in opposite directions. A vapor coating mixture may include a tin-containing precursor and a silicon-containing precursor. An accelerant, e.g. a phosphorus-containing precursor, may be used with the metal-containing precursors to increase the deposition rate of the coating. The coating deposited on the substrate has regions of continuously varying weight percent of silicon oxide and tin oxide as the distance from the substrate-coating interface increases, with the surface of the coating farthest from the substrate-coating interface being predominantly tin oxide.
    Type: Grant
    Filed: June 23, 1994
    Date of Patent: November 7, 1995
    Assignee: PPG Industries, Inc.
    Inventors: Patricia R. Athey, Douglas S. Dauson, David E. Lecocq, George A. Neuman, John F. Sopko, Royann L. Stewart-Davis
  • Patent number: 5449535
    Abstract: A method is disclosed for depositing a substance on a substrate, including the following steps: providing the substrate in a deposition chamber, providing in the chamber a vapor of the substance, providing a buffer gas in the chamber, and directing a light beam at the substrate to control deposition of the substance by causing light induced drift.
    Type: Grant
    Filed: June 13, 1994
    Date of Patent: September 12, 1995
    Assignee: Competitive Technologies, Inc.
    Inventor: Alan D. Streater
  • Patent number: 5437895
    Abstract: A process for forming a thin film of amorphous silicon of a uniform thickness on a relatively large glass plate. The process comprising forming a thin film of amorphous silicon on an insulating substrate by a plasma enhanced chemical vapor deposition process while intermittently generating a high frequency discharge. The duration of each discharge is set shorter than the time period necessary for the DC bias voltage, which is generated on the high frequency-applying electrode side, to attain a saturated value.
    Type: Grant
    Filed: July 19, 1994
    Date of Patent: August 1, 1995
    Assignee: Anelva Corporation
    Inventors: Akira Kodama, Yoshimi Watabe, Massashi Ueda
  • Patent number: 5407710
    Abstract: The present invention relates to a method for the interconnection/repair of circuits on a transparent substrate at ambient temperature using laser induced chemical vapor deposition.
    Type: Grant
    Filed: August 4, 1993
    Date of Patent: April 18, 1995
    Assignee: International Business Machines Corporation
    Inventors: Thomas H. Baum, Paul B. Comita, Carl E. Larson, George W. Tyndall, III
  • Patent number: 5356656
    Abstract: A method of manufacturing a flexible amorphous silicon solar cell includes the steps of: a) coating a PI varnish on a glass substrate; b) imidizing the PI varnish film; c) vacuum-depositing a metal film on the PI film; d) vacuum-depositing an amorphous silicon film on the metal film; e) vacuum-depositing a transparent conducting film on the amorphous silicon film; and f) separating the PI film from the glass substrate. The method also provides for preparing the PI varnish by the steps of: 1) preparing a mixed solution of 60-100% by weight aprotic solvent, and 0-40% by weight aromatic solvent; 2) adding into the mixed solution in a mole ratio of 1:9 two aromatic diamines; and 3) further adding in the mixed solution in a mole ratio of 1:5 two aromatic dianhydrides.
    Type: Grant
    Filed: March 26, 1993
    Date of Patent: October 18, 1994
    Assignee: Industrial Technology Research Institute
    Inventors: Lee-Ching Kuo, Jinn-Shing King, Wen-Yueh Hsu, Yu-Tai Tsai
  • Patent number: 5346730
    Abstract: The deposition of a copper-containing layer on a substrate by decomposing, particularly by a CVD process, a compound corresponding to the formula (I)RO--Cu--L (I)in whichR represents a 1-aryl lower alkyl group, a branched, optionally substituted alkyl group with 3 to 6 carbon atoms, or an aryl group, andL represents (C1 to C6-alkyl)isonitrile, aryl isonitrile, carbon monoxide, dialkylaminodifluorophosphane, organyl difluorophosphane, triaryl phosphane, trialkyl phosphane, trifluorophosphane, or trichlorophosphane,is described, together with previously unknown compounds of formula (I) which may be used in the process.
    Type: Grant
    Filed: July 26, 1991
    Date of Patent: September 13, 1994
    Assignee: Kali-Chemie AG
    Inventors: Thomas Kruck, Christian Terfloth
  • Patent number: 5334454
    Abstract: The present invention refers to the deposition of thin film coatings produced by plasma-activated chemical vapor deposition of volatile fluorinated cyclic siloxanes of the structure [RR'SiO].sub.x, in which R is a hydrocarbon radical with 1-6 carbon atoms, R' is a fluorinated hydrocarbon radical with 3-10 carbon atoms, the carbon in the alpha and beta positions with respect to the silicon atom is hydrogenated and x is 3 or 4.
    Type: Grant
    Filed: February 22, 1993
    Date of Patent: August 2, 1994
    Assignee: Dow Corning Corporation
    Inventors: Gerardo Caporiccio, Riccardo D'Agostino, Pietro Favia