Coating Formed From Vaporous Or Gaseous Phase Reaction Mixture (e.g., Chemical Vapor Deposition, Cvd, Etc.) Patents (Class 427/255.28)
  • Patent number: 9997405
    Abstract: Described herein are methods of filling features with tungsten, and related systems and apparatus, involving inhibition of tungsten nucleation. In some embodiments, the methods involve selective inhibition along a feature profile. Methods of selectively inhibiting tungsten nucleation can include exposing the feature to a direct or remote plasma. Pre-inhibition and post-inhibition treatments are used to modulate the inhibition effect, facilitating feature fill using inhibition across a wide process window. The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for both conformal fill and bottom-up/inside-out fill. Examples of applications include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using through-silicon vias.
    Type: Grant
    Filed: September 25, 2015
    Date of Patent: June 12, 2018
    Assignee: Lam Research Corporation
    Inventors: Anand Chandrashekar, Esther Jeng, Raashina Humayun, Michal Danek, Juwen Gao, Deqi Wang
  • Patent number: 9997364
    Abstract: A method for etching a layer in a processing chamber is provided. A plurality of cycles is provided, where each cycle comprises a deposition phase, a clearing phase, and an etching phase. The deposition phase comprises flowing a deposition gas comprising a fluorocarbon or hydrofluorocarbon gas into the processing chamber, maintaining a deposition phase pressure of at least 50 mTorr, transforming the deposition gas into a plasma, and stopping the deposition phase. The clearing phase comprises flowing a clearing gas comprising a halogen containing gas into the processing chamber, maintaining a clearing phase pressure of less than 40 mTorr, transforming the clearing gas into a plasma, and stopping the clearing phase. The etching phase comprises flowing an etching gas comprising a halogen containing gas into the processing chamber, maintaining an etching phase pressure of at least 30 mTorr, transforming the etching gas into a plasma, and stopping the etching phase.
    Type: Grant
    Filed: October 19, 2016
    Date of Patent: June 12, 2018
    Assignee: Lam Research Corporation
    Inventors: William Thie, Jisoo Kim
  • Patent number: 9970103
    Abstract: The present invention relates to a film composed of a carbon-containing silicon oxide formed by CVD using, as the raw material, an organosilicon compound having a secondary hydrocarbon group directly bonded to at least one silicon atom and having an atomic ratio of 0.5 or less oxygen atom with respect to 1 silicon atom, which is used as a sealing film for a gas barrier equipment and materials, an FPD device, a semiconductor device and the like.
    Type: Grant
    Filed: July 18, 2016
    Date of Patent: May 15, 2018
    Assignee: TOSOH CORPORATION
    Inventors: Daiji Hara, Masato Shimizu
  • Patent number: 9938622
    Abstract: Methods for depositing ruthenium by a PECVD process are described herein. Methods for depositing ruthenium can include positioning a substrate in a processing chamber, the substrate having a barrier layer formed thereon, heating and maintaining the substrate at a first temperature, flowing a first deposition gas into a processing chamber, the first deposition gas comprising a ruthenium containing precursor, generating a plasma from the first deposition gas to deposit a first ruthenium layer over the barrier layer, flowing a second deposition gas into the processing chamber to deposit a second ruthenium layer over the first ruthenium layer, the second deposition gas comprising a ruthenium containing precursor, depositing a copper seed layer over the second ruthenium layer and annealing the substrate at a second temperature.
    Type: Grant
    Filed: February 17, 2016
    Date of Patent: April 10, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Tae Hong Ha, Sang Ho Yu, Kiejin Park
  • Patent number: 9911593
    Abstract: A method for fabricating an NAND flash memory includes providing a semiconductor substrate with a core region and a peripheral region, forming a plurality of discrete gate stack structures in the core region with neighboring gate stack structures separated by a first dielectric layer. The method further includes forming a flowable dielectric layer on the first dielectric layer and the gate stack structures, and forming a solid dielectric layer through a solidification treatment process performed on the flowable dielectric layer. Voids and seams formed in the top portion of the first dielectric layer are filled by the solid dielectric layer. The method also includes removing the solid dielectric layer and a portion of the first dielectric layer to expose a top portion of the gate stack structures, and forming a metal silicide layer on each gate stack structure.
    Type: Grant
    Filed: August 23, 2016
    Date of Patent: March 6, 2018
    Assignees: SEIMCONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Erhu Zheng, Shiliang Ji, Yiying Zhang
  • Patent number: 9893283
    Abstract: The present invention relates to a vapor deposition device for forming a film on a substrate, including: a vapor deposition chamber; a vapor deposition unit including a vapor deposition mask provided with an opening for pattern formation; and a transport mechanism that is configured to transfer at least one of the substrate and the vapor deposition unit relative to the other in a first direction perpendicular to the normal direction of the vapor deposition mask and that is configured to cause the substrate to rest temporarily at a resting position relative to the vapor deposition unit. The substrate includes a vapor-deposition-target region, and the region does not overlap the opening of the vapor deposition mask when the substrate is at the resting position. The vapor deposition chamber is provided with a first vent and a second vent.
    Type: Grant
    Filed: November 27, 2014
    Date of Patent: February 13, 2018
    Assignee: SHARP KABUSHIKI KAISHA
    Inventors: Yuhki Kobayashi, Katsuhiro Kikuchi, Shinichi Kawato, Takashi Ochi, Satoshi Inoue, Kazuki Matsunaga, Eiichi Matsumoto, Masahiro Ichihara
  • Patent number: 9873662
    Abstract: Provided are a metal precursor containing an oxime group, which is represented by general formula 1, and a metal precursor ink containing same. The metal precursor ink according to the present invention enhance metal content, induce intramolecular and/or intermolecular complexation, thereby enabling low temperature sintering with excellent solubility and stability. The metal precursor ink according to the present invention can be used to form a metal wire with a desired shape. Therefore, the metal precursor ink can find applications in the field of printed electronics, particularly various electrodes, such as mesh type transparent electrodes.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: January 23, 2018
    Assignee: PESOLVE CO., LTD.
    Inventors: Hyun Nam Cho, Hyun Ju Kim
  • Patent number: 9850571
    Abstract: The invention belongs to the technical field of inorganic compounds, and particularly, relates to a method for directly preparing graphene by taking CBr4 as a source material and using methods such as molecular-beam epitaxy (MBE) or chemical vapor deposition (CVD). A method for preparing graphene comprises the following steps: selecting a proper material as a substrate; directly depositing a catalyst and CBr4 on a surface of the substrate; and performing annealing treatment on the sample obtained through deposition. Compared with other technologies, an innovative point of the method in the invention is that the catalyst and CBr4 source can be quantitatively and controllably deposited on any substrate, and the catalyst and CBr4 source react on the surface of the substrate to form the graphene, so that the dependence of the graphene growth on a substrate material can be reduced to a great extent, and different substrate materials can be selected according to different application backgrounds.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: December 26, 2017
    Assignee: SHANGHAI INSTITUTE OF MICROSYSTEM AND INFORMATION TECHNOLOGY, CHINESE ACADEMY OF SCIENCES
    Inventors: Shumin Wang, Qian Gong, Xiaoming Xie, Hailong Wang, Zengfeng Di, Guqiao Ding, Qingbo Liu
  • Patent number: 9803278
    Abstract: A vapor phase growth method is disclosed. The method includes a step of preparing a substrate in a chamber, a first step of absorbing only a first element to the substrate by supplying a first source material into the chamber, a second step of suspending supply of the first source material into the chamber, a third step of absorbing a second element to the substrate by supplying a second source material into the chamber, wherein the supply of the second source material is started while the first source material remains in an atmosphere of the chamber, a fourth step of suspending supply of the second source material into the chamber, and a fifth step of repeating from the first step to the fourth step.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: October 31, 2017
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Chihoko Mizue
  • Patent number: 9783887
    Abstract: The invention is related to an apparatus and a method for processing a surface of a substrate by exposing the surface of the substrate to alternating surface reactions of at least a first starting material and a second starting material according to the principles of atomic layer deposition method. According to the invention a first starting material is fed on the surface of the substrate locally by means of a source by moving the source in relation to the substrate, and the surface of the substrate processed with the first starting material is exposed to a second starting material present in the atmosphere surrounding the source.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: October 10, 2017
    Assignee: BENEQ OY
    Inventors: Pekka Soininen, Sami Sneck
  • Patent number: 9711370
    Abstract: A method of processing a substrate is provided. A substrate is placed on a turntable provided in a process chamber. The process chamber includes a process area for supplying an etching gas and a purge area for supplying a purge gas. The process area and the purge area are arranged along a rotational direction of the turntable and divided from each other. The etching gas is supplied into the process area. The purge gas is supplied into the purge area. The turntable rotates to cause the substrate placed on the turntable to pass through the process area and the purge area once per revolution, respectively. A film deposited on a surface of the substrate is etched when the substrate passes the process are. An etching rate of the etching or a surface roughness of the film is controlled by changing a rotational speed of the turntable.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: July 18, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Shigehiro Miura, Hitoshi Kato, Jun Sato, Hiroyuki Kikuchi
  • Patent number: 9704971
    Abstract: A method of controlling the facet height of raised source/drain epi structures using multiple spacers, and the resulting device are provided. Embodiments include providing a gate structure on a SOI layer; forming a first pair of spacers on the SOI layer adjacent to and on opposite sides of the gate structure; forming a second pair of spacers on an upper surface of the first pair of spacers adjacent to and on the opposite sides of the gate structure; and forming a pair of faceted raised source/drain structures on the SOI, each of the faceted source/drain structures faceted at the upper surface of the first pair of spacers, wherein the second pair of spacers is more selective to epitaxial growth than the first pair of spacers.
    Type: Grant
    Filed: December 9, 2015
    Date of Patent: July 11, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: George Robert Mulfinger, Xusheng Wu
  • Patent number: 9601533
    Abstract: A method of manufacturing a solid-state imaging apparatus, comprising preparing a semiconductor substrate including a photoelectric conversion portion and a structure which includes an insulating member formed on the photoelectric conversion portion and a wiring pattern formed in the insulating member, forming a film made of SiC and/or SiCN on the structure, forming an opening immediately above the photoelectric conversion portion by removing part of the film and part of the insulating member, and depositing a member in the opening and on the film, and forming a light-guide portion by polishing the member so as to expose the film.
    Type: Grant
    Filed: June 3, 2014
    Date of Patent: March 21, 2017
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yusuke Tsukagoshi, Shunsuke Nakatsuka, Takayasu Kanesada
  • Patent number: 9561523
    Abstract: The present invention is directed to a method of forming a polymer coating on a substrate. The method comprising the steps of providing in an evacuated reaction chamber a substrate having a surface to be coated; and providing a first source of polymer forming material and a second source of radicals. According to the invention the first source and the second source are separated from each other and from the reaction chamber, and the polymer forming material as well as the radicals are, at least temporarily, conducted contemporaneously but spatially separated to the substrate's surface, so that a reaction of the polymer forming material with the radicals is avoided before they reach the substrate's surface. Further, the present invention is directed to a device for carrying out the method according to the invention.
    Type: Grant
    Filed: January 9, 2013
    Date of Patent: February 7, 2017
    Assignee: Luxembourg Institute of Science and Technology (LIST)
    Inventor: Damien Lenoble
  • Patent number: 9543517
    Abstract: Described herein is a method and precursor composition for depositing a multicomponent film. In one embodiment, the method and composition described herein is used to deposit a germanium-containing film such as Germanium Tellurium, Antimony Germanium, and Germanium Antimony Tellurium (GST) films via an atomic layer deposition (ALD) and/or other germanium, tellurium and selenium based metal compounds for phase change memory and photovoltaic devices. In this or other embodiments, the Ge precursor used trichlorogermane.
    Type: Grant
    Filed: November 13, 2015
    Date of Patent: January 10, 2017
    Assignee: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Xinjian Lei, Moo-Sung Kim
  • Patent number: 9508543
    Abstract: A thin film having a low dielectric constant and a high resistance to HF at a low temperature range is formed with high productivity. A film containing a predetermined element, oxygen and at least one of carbon and nitrogen is formed on a substrate by performing, a predetermined number of times, a cycle comprising: (a) supplying a source gas containing the predetermined element to the substrate; and (b) supplying a reaction gas containing nitrogen, carbon and oxygen to the substrate.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: November 29, 2016
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yugo Orihashi, Yoshiro Hirose
  • Patent number: 9443723
    Abstract: Integrated circuits and methods for producing the same are provided. A method of producing the integrated circuits includes forming an insulating layer overlying a substrate. The insulating layer includes a first composition that includes silicon oxide and a porogen. The porogen is removed from the first composition to form a second composition that includes a pore, where the second composition has a dielectric constant lower than that of the first composition. An insulating layer mechanical property desired range is determined, where the second composition has an insulating material mechanical property outside of the insulating layer mechanical property desired range. The second composition is altered to form a third composition, where the third composition has the insulating layer mechanical property within the insulating layer mechanical property desired range.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: September 13, 2016
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Ronny Pfutzner, Andreia Ioana Popa, Christof Streck
  • Patent number: 9399818
    Abstract: The invention relates to a method for continuous coating of substrates, in which the substrates are transported continuously through a deposition chamber and, at the same time, measures are adopted for reducing parasitic deposits as well as possible. Likewise, the invention relates to a corresponding device for continuous coating of substrates.
    Type: Grant
    Filed: July 9, 2012
    Date of Patent: July 26, 2016
    Assignee: FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Stefan Reber, Norbert Schillinger, David Pocza, Martin Arnold
  • Patent number: 9401261
    Abstract: An ozone supplying apparatus according to an embodiment of the present invention is an ozone gas supplying apparatus which supplies an ozone gas to a vacuum apparatus. The ozone supplying apparatus includes an ozone generator configured to generate the ozone gas, a first flow controller configured to control a flow rate of the ozone gas generated by the ozone generator, a second flow controller configured to control a flow rate of the ozone gas supplied to the vacuum apparatus, and a main pipe provided on a secondary side of the first flow controller and on a primary side of the second flow controller, with the ozone gas being introduced into the main pipe at such a flow rate that an internal pressure of the main pipe is controlled to be lower than atmospheric pressure by the first flow controller.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: July 26, 2016
    Assignee: NuFlare Technology, Inc.
    Inventors: Yasuyuki Taneda, Yoshiro Yamanaka
  • Patent number: 9381687
    Abstract: A syringe (20) is disclosed including a needle (22) and a barrel (24). The needle can have an outside surface (32), a delivery outlet (34) at one end, a base (36) at the other end, and an internal passage (38) extending from the base to the delivery outlet. The barrel can have a generally cylindrical interior surface portion (40) defining a lumen. The barrel also can have a front passage (44) molded around and in fluid-sealing contact with the outside surface of the needle. A method of making such a syringe is also disclosed. A mold (80) for making the barrel defines a front opening of the barrel to be molded around and in fluid-sealing contact with the outside surface of the needle. The needle is positioned within the mold cavity, with its base abutting the core. The barrel is molded against the needle to join the barrel and the needle.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: July 5, 2016
    Assignee: SIO2 MEDICAL PRODUCTS, INC.
    Inventors: John T. Felts, Jean-Pierre Giraud
  • Patent number: 9365770
    Abstract: The present invention relates to an etching solution being capable of selectively etching a copper/molybdenum-based multilayer thin film with respect to a semiconductor device having an oxide semiconductor layer and a copper/molybdenum-based multilayer thin film, wherein the etching solution comprises (A) hydrogen peroxide, (B) an inorganic acid containing no fluorine atom, (C) an organic acid, (D) an amine compound having 2 to 10 carbon atoms, and having an amino group and at least one group selected from an amino group and a hydroxyl group, (E) an azole, and (F) a hydrogen peroxide stabilizer, and has a pH of 2.5 to 5, as well as an etching method using the etching solution for selectively etching a copper/molybdenum-based multilayer thin film from a semiconductor device having an oxide semiconductor layer and a copper/molybdenum-based multilayer thin film.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: June 14, 2016
    Assignee: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Satoshi Okabe, Tomoyuki Adaniya, Taketo Maruyama
  • Patent number: 9355839
    Abstract: Methods and apparatus for depositing continuous thin films using plasma-activated sub-saturated atomic layer deposition are provided herein. According to various embodiments, pin-hole free continuous films may be deposited at thicknesses thinner than achievable with conventional methods. The methods and apparatus also provide high degree of thickness control, with films a per-cycle thickness tunable to as low as 0.1 ? in some embodiments. Further, the methods and apparatus may be used to provide films having improved properties, such as lower wet etch rate, in some embodiments.
    Type: Grant
    Filed: October 23, 2013
    Date of Patent: May 31, 2016
    Assignee: Lam Research Corporation
    Inventors: Shankar Swaminathan, Hu Kang, Adrien Lavoie
  • Patent number: 9354526
    Abstract: The present invention may include acquiring a wafer shape value at a plurality of points of a wafer surface at a first and second process level, generating a wafer shape change value at each of the points, generating a set of slope of shape change values at each of the points, calculating a set of process tool correctables utilizing the generated set of slope of shape change values, generating a set of slope shape change residuals (SSCRs) by calculating a slope of shape change residual value at each of the points utilizing the set of process tool correctables, defining a plurality of metric analysis regions distributed across the surface, and then generating one or more residual slope shape change metrics for each metric analysis region based on one or more SSCRs within each metric analysis region.
    Type: Grant
    Filed: May 21, 2012
    Date of Patent: May 31, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Pradeep Vukkadala, Sathish Veeraraghavan, Jaydeep K. Sinha
  • Patent number: 9232569
    Abstract: Apparatus for providing pulsed or continuous energy in a process chamber are provided herein. The apparatus may include a lamphead including a set of lamps, wherein the first set of lamps are not solid state light sources, and a set of solid state light sources disposed on the lamp head, to provide pulsed or continuous energy to the process chamber.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: January 5, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph Johnson, John Gerling, Klaus Schuegraf
  • Patent number: 9212420
    Abstract: A chemical vapor deposition (CVD) method for depositing a thin film on a surface of a substrate is described. The CVD method comprises disposing a substrate on a substrate holder in a process chamber, and introducing a process gas to the process chamber, wherein the process gas comprises a chemical precursor. The process gas is exposed to a non-ionizing heat source separate from the substrate holder to cause decomposition of the chemical precursor. A thin film is deposited upon the substrate.
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: December 15, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Eric M. Lee, Raymond Nicholas Vrtis, Mark Leonard O'Neill, Patrick Timothy Hurley, Jacques Faguet, Takashi Matsumoto, Osayuki Akiyama
  • Publication number: 20150147824
    Abstract: A silicon precursor composition is described, including a silylene compound selected from among: silylene compounds of the formula: wherein each of R and R1 is independently selected from organo substituents; amidinate silylenes; and bis(amidinate) silylenes. The silylene compounds are usefully employed to form high purity, conformal silicon-containing films of Si02, Si3N4, SiC and doped silicates in the manufacture of microelectronic device products, by vapor deposition processes such as CVD, pulsed CVD, ALD and pulsed plasma processes. In one implementation, such silicon precursors can be utilized in the presence of oxidant, to seal porosity in a substrate comprising porous silicon oxide by depositing silicon oxide in the porosity at low temperature, e.g., temperature in a range of from 50° C. to 200° C.
    Type: Application
    Filed: May 22, 2013
    Publication date: May 28, 2015
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Thomas M. Cameron, Susan V. DiMeo, Bryan C. Hendrix, Weimin Li
  • Publication number: 20150148557
    Abstract: A material is deposited onto a substrate by exposing the substrate to a metal-containing precursor to adsorb metal atoms of the metal-containing precursor to the substrate. The substrate injected with the metal-containing precursor is exposed to an organic precursor to deposit a layer of material by a reaction of the organic precursor with the metal atoms adsorbed to the substrate. The substrate is exposed to radicals of a reducing agent to increase reactivity of the material deposited on the substrate. The radicals of the reducing agent are produced by applying a voltage differential with electrodes to a gas such as hydrogen. The substrate may be exposed to radicals before and/or after exposing the substrate to the organic precursor. The substrate may be sequentially exposed to two or more different organic precursors. The material deposited on the substrate may be a metalcone such as Alucone, Zincone, Zircone, Titanicone, or Nickelcone.
    Type: Application
    Filed: November 18, 2014
    Publication date: May 28, 2015
    Inventors: Sang In Lee, Chang Wan Hwang
  • Patent number: 9040121
    Abstract: Vacuum deposited thin films of material are described to create an interface that non-preferentially interacts with different domains of an underlying block copolymer film. The non-preferential interface prevents formation of a wetting layer and influences the orientation of domains in the block copolymer. The purpose of the deposited polymer is to produce nanostructured features in a block copolymer film that can serve as lithographic patterns.
    Type: Grant
    Filed: February 7, 2013
    Date of Patent: May 26, 2015
    Assignee: Board of Regents The University of Texas System
    Inventors: C. Grant Willson, William Durand, Christopher John Ellison, Christopher Bates, Takehiro Seshimo, Julia Cushen, Logan Santos, Leon Dean, Erica Rausch
  • Patent number: 9039411
    Abstract: A disclosed thermal treatment apparatus includes a supporting member where plural substrates are supported in the form of shelves; a reaction tube that accommodates the supporting member within the reaction tube, and is provided with plural gas supplying pipes arranged in a side part of the reaction tube, thereby allowing a gas to flow into the reaction tube through the plural gas supplying pipes; and a first heating part that heats the plural substrates supported by the supporting member accommodated within the reaction tube, wherein the first heating part includes a slit that extends from a bottom end to a top end of the first heating part and allows the plural gas supplying pipes to go therethrough, and wherein an entire inner surface, except for the slit, of the heating part faces the side part of the reaction tube.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: May 26, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Masato Kadobe, Naomi Onodera, Kazuhiko Kato
  • Publication number: 20150140211
    Abstract: This patent relates to 1) primary tool designs for a chemical vapor deposition (CVD) synthesis system in the form of open tray stacks or more readily accessible, quasi-gas-tight enclosure boxes, to 2) system designs for low volume and high volume CVD graphene production, and to 3) methods for CVD graphene and other two-dimensional (2D) film CVD synthesis. Scaling of higher quality CVD 2D-film production is thereby enabled both in substrate size and productivity and at reduced costs. This invention provides a wider process window for CVD Synthesis of 2D films and, particularly of graphene films, thereby allowing increased film quality and/or production throughput.
    Type: Application
    Filed: November 19, 2014
    Publication date: May 21, 2015
    Inventors: Karlheinz Strobl, Leonard Rosenbaum
  • Publication number: 20150129089
    Abstract: A hydrogen-free amorphous dielectric insulating film having a high material density and a low density of tunneling states is provided. The film is prepared by e-beam deposition of a dielectric material on a substrate having a high substrate temperature Tsub under high vacuum and at a low deposition rate. In an exemplary embodiment, the film is amorphous silicon having a density greater than about 2.18 g/cm3 and a hydrogen content of less than about 0.1%, prepared by e-beam deposition at a rate of about 0.1 nm/sec on a substrate having Tsub=400° C. under a vacuum pressure of 1×10?8 Torr.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 14, 2015
    Applicant: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Xiao Liu, Daniel R. Queen, Frances Hellman
  • Publication number: 20150132539
    Abstract: A coated device comprising a body, a coating on at least a portion of a surface of the body, wherein the coating comprises, a terminal layer, and at least one underlayer positioned between the terminal layer and the body, the underlayer comprising a hardness of greater than 61 HRc, wherein prior to the addition of the terminal layer, at least one of the body and the underlayer is polished to a surface roughness of less than or equal to 1.0 micrometer Ra.
    Type: Application
    Filed: August 28, 2014
    Publication date: May 14, 2015
    Inventors: Jeffrey R. Bailey, Srinivasan Rajagopalan, Mehmet Deniz Ertas, Adnan Ozekcin, Bo Zhao
  • Publication number: 20150132872
    Abstract: Various embodiments may relate to a device for the surface treatment of a substrate, including a processing head, which is mounted rotatably about an axis of rotation, and which comprises multiple gas outlets, which are at least partially implemented on a radial outer edge of the processing head.
    Type: Application
    Filed: April 26, 2013
    Publication date: May 14, 2015
    Inventors: Juergen Bauer, Gerhard Doell, Klaus-Dieter Bauer, Philipp Erhard, Frank Vollkommer
  • Patent number: 9029264
    Abstract: Methods of depositing a tin-containing layer on a substrate are disclosed herein. In some embodiments, a method of depositing a tin-containing layer on a substrate may include flowing a tin source comprising a tin halide into a reaction volume; flowing a hydrogen plasma into the reaction volume; forming one or more tin hydrides within the reaction volume from the tin source and the hydrogen plasma; and depositing the tin-containing layer on a first surface of the substrate using the one or more tin hydrides.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: May 12, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Errol Antonio C. Sanchez, Yi-Chiau Huang
  • Publication number: 20150122211
    Abstract: A sliding body for an internal combustion engine may include a main part and a coating which at least partially covers the main part. The coating may have a first main layer. The first main layer may include main components which are molybdenum, nitrogen and oxygen.
    Type: Application
    Filed: May 7, 2013
    Publication date: May 7, 2015
    Inventor: Kurt Maier
  • Patent number: 9023466
    Abstract: A cutting tool insert for machining by chip removal comprising a body of a hard alloy of cemented carbide, cermet, ceramics or cubic boron nitride based material onto which a hard and wear resistant coating is deposited by CVD, and the methods of making and using the same. The coating includes at least one ?-Al2O3 layer with a thickness between 0.5 ?m and 40 ?m having a {01-15} and/or {10-15} texture exhibiting excellent wear and metal cutting performance.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: May 5, 2015
    Assignee: Seco Tools AB
    Inventors: Tommy Larsson, Mats Johansson
  • Publication number: 20150118100
    Abstract: Methods are provided for deposition of films comprising manganese on surfaces using metal coordination complexes comprising an amidoimino-based ligand. Certain methods comprise exposing a substrate surface to a manganese precursor, and exposing the substrate surface to a co-reagent.
    Type: Application
    Filed: October 16, 2014
    Publication date: April 30, 2015
    Inventors: Jeffrey W. Anthis, David Thompson, Ravi Kanjolia, Shaun Garrett
  • Publication number: 20150118394
    Abstract: A thin layer of a silicon-carbon-containing film is deposited on a substrate by generating hydrogen radicals from hydrogen gas supplied to a radicals generation chamber, supplying the hydrogen radicals to a substrate processing chamber separate from the substrate processing chamber via a multiport gas distributor, and reacting the hydrogen radicals therein with an organosilicon reactant introduced into the substrate processing chamber concurrently. The hydrogen radicals are allowed to relax into a ground state in a radicals relaxation zone within the substrate processing chamber before reacting with the organosilicon reactant.
    Type: Application
    Filed: October 24, 2013
    Publication date: April 30, 2015
    Inventors: Bhadri N Varadarajan, Bo Gong
  • Publication number: 20150118544
    Abstract: An encapsulation device comprises at least one assembly containing particles comprising at least a first material. The assembly has an open porosity. The particles: are distributed over a geometric structure that has a degree of compactness of said particles of greater than around 50% and preferably of greater than 60%, and are covered conformally by at least one layer referred to as an infiltration layer; the thickness of at least the infiltration layer closing off the porosity of the assembly comprising the particles covered by at least the layer, in the form of pores that are not connected to one another. A process for producing the encapsulation device is also provided.
    Type: Application
    Filed: October 28, 2014
    Publication date: April 30, 2015
    Inventor: Sami OUKASSI
  • Publication number: 20150110959
    Abstract: A film forming method includes: forming a thin unit film on a target substrate by supplying processing gases sequentially and intermittently into a processing space, where the target substrate is placed, in a processing chamber of a film forming apparatus while purging the processing gases with a purge gas constantly supplied into the processing space; and repeating the forming of the thin unit film to form a film having a predetermined thickness on the target substrate. A flow rate of the purge gas supplied into the processing space is set such that the film is formed in a film forming mode in which the thin unit film is formed, irrespective of a pressure in the processing chamber.
    Type: Application
    Filed: October 16, 2014
    Publication date: April 23, 2015
    Inventors: Hiroaki ASHIZAWA, Misuzu SATO
  • Patent number: 9012024
    Abstract: The invention relates to a glazing comprising a transparent glass substrate containing ions of at least one alkali metal and a transparent layer made of silicon oxycarbide (SiOxCy) having a total thickness E with (a) a carbon-rich deep zone, extending from a depth P3 to a depth P4, where the C/Si atomic ratio is greater than or equal to 0.5, and (b) a carbon-poor surface zone, extending from a depth P1 to a depth P2, where the C/Si atomic ratio is less than or equal to 0.4, with P1<P2<P3<P4 and (P2?P1)+(P4?P3)<E the distance between P1 and P2 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer and the distance between P3 and P4 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: April 21, 2015
    Assignee: Saint-Gobain Glass France
    Inventors: Claire Thoumazet, Martin Melcher, Arnaud Huignard, Raphael Lante
  • Patent number: 9012257
    Abstract: A vapor deposition apparatus, which is capable of performing a thin film deposition process and improving characteristics of a formed thin film, includes a chamber having an exhaust opening; a stage located in the chamber, and including a plurality of mounting surfaces on which the plurality of substrates may be mounted; and an injection unit having at least one injection opening for injecting a gas into the chamber in a direction parallel with surfaces of the plurality of substrates.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: April 21, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Joon Seo, Seung-Hun Kim, Jin-Kwang Kim, Seung-Yong Song
  • Publication number: 20150104574
    Abstract: Embodiments relate to an atomic layer deposition (ALD) process that uses a seed precursor for increased deposition rate. A first reactant precursor (e.g., H2O) may be formed as a result of reaction. The first reactant precursor may react with or substitute source precursor (e.g., 3DMAS) in a subsequent process to deposit material on a substrate. In addition, a second reactant precursor (e.g., radicals) may be separately injected onto the substrate previously injected with the source precursor. By causing the source precursor to react with the first reactant precursor from the surface of the substrate and also react with the second reactant provided by the injector, the material is deposited on the substrate in an expedient manner.
    Type: Application
    Filed: October 14, 2014
    Publication date: April 16, 2015
    Inventors: Sang In Lee, Chang Wan Hwang, Jeong Ah Yoon
  • Publication number: 20150103399
    Abstract: A method of producing a glass substrate having a first layer formed on a surface of the substrate by low-temperature CVD includes preparing the glass substrate and forming the first layer on the glass substrate by the low-temperature CVD. In the glass substrate after forming the first layer, an integrated value after a baseline correction in a wavenumber range of 2600 cm?1 to 3800 cm?1 in a peak due to OH groups obtained by an FTIR measurement on the first layer is 9.0 or less, and the C content of the first layer is 1.64 at % or less.
    Type: Application
    Filed: November 24, 2014
    Publication date: April 16, 2015
    Applicant: Asahi Glass Company, Limited
    Inventors: Hiroshi HANEKAWA, Nobutaka Aomine, Yuki Aoshima, Hirotomo Kawahara, Kazunobu Maeshige
  • Publication number: 20150104575
    Abstract: A deposition system can conduct ALD or CVD deposition and can switch between the deposition modes. The system is capable of depositing multi-metal films and multi-layer films of alternating ALD and CVD films. Reactant supplies can be bypassed with carrier gas flow to maintain pressure in a reactor and in reactor supply lines and purge reactants.
    Type: Application
    Filed: October 15, 2014
    Publication date: April 16, 2015
    Inventors: Christos G. Takoudis, Manish Singh, Sathees Kannan Selvaraj
  • Patent number: 9004002
    Abstract: A mask assembly is disclosed to improve organic material deposition efficiency including: a plurality of deposition masks, at least one of opposite ends of each of the plurality of deposition masks is formed to have a plurality of projections, which form at least one boundary aperture region at a boundary of adjacent two deposition masks.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: April 14, 2015
    Assignee: LG Display Co., Ltd.
    Inventors: Chong-Hyun Park, Tae-Hyung Kim, Il-Hyun Lee
  • Patent number: 9005719
    Abstract: Described herein are organoaminosilane precursors which can be used to deposit silicon containing films which contain silicon and methods for making these precursors. Also disclosed herein are deposition methods for making silicon-containing films or silicon containing films using the organoaminosilane precursors described herein. Also disclosed herein are the vessels that comprise the organoaminosilane precursors or a composition thereof that can be used, for example, to deliver the precursor to a reactor in order to deposit a silicon-containing film.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: April 14, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Xinjian Lei, Bing Han, Mark Leonard O'Neill, Ronald Martin Pearlstein, Richard Ho, Haripin Chandra, Agnes Derecskei-Kovacs
  • Publication number: 20150099437
    Abstract: A retaining ring for a polishing system is disclosed. The retaining ring has a process-resistant coating over a portion thereof. The process-resistant coating is a thin, smooth, conformal layer that is resistant to wear and chemical attack. The process-resistant coating is formed by a method that includes vapor deposition from a precursor gas mixture, which may deposit polyparaxyxylene from a gas mixture comprising paracyclophane. Adhesion of the process-resistant coating to the retaining ring may be enhanced by treating the surface of the ring prior to forming the coating. Resistance of the coating to the process may be further enhanced by treating the surface of the coating with an etching or deposition gas to impart texture.
    Type: Application
    Filed: October 2, 2014
    Publication date: April 9, 2015
    Inventors: Daniel L. MARTIN, Jeonghoon OH, Simon YAVELBERG
  • Publication number: 20150099066
    Abstract: The present invention relates generally to methods and apparatus for the controlled growing of material on substrates. According to embodiments of the present invention, a precursor feed is controlled in order to provide an optimal pulse profile. This may be accomplished by splitting the feed into two paths. One of the paths is restricted in a continuous manner. The other path is restricted in a periodic manner. The output of the two paths converges at a point prior to entry of the reactor. Therefore, a single precursor source is able to fed precursor in to a reactor under two different conditions, one which can be seen as mimicking ALD conditions and one which can be seen as mimicking CVD conditions. This allows for an otherwise single mode reactor to be operated in a plurality of modes including one or more ALD/CVD combination modes. Additionally, the pulse profile of each pulse can be modified.
    Type: Application
    Filed: December 12, 2014
    Publication date: April 9, 2015
    Inventors: Hannu Huotari, Tom E. Blomberg
  • Publication number: 20150099065
    Abstract: Visor injectors include a gas injector port, internal sidewalls, and at least two ridges for directing gas flow through the visor injectors. Each of the ridges extends from a location proximate a hole in the gas injector port toward a gas outlet of the visor injector and is positioned between the internal sidewalls. Deposition systems include a base with divergently extending internal sidewalls, a gas injection port, a lid, and at least two divergently extending ridges for directing gas flow through a central region of a space at least partially defined by the internal sidewalls of the base and a bottom surface of the lid. Methods of forming a material on a substrate include flowing a precursor through such a visor injector and directing a portion of the precursor to flow through a central region of the visor injector with at least two ridges.
    Type: Application
    Filed: May 24, 2013
    Publication date: April 9, 2015
    Inventors: Claudio Canizares, Ronald Thomas Bertram, Jr.