Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Publication number: 20120128895
    Abstract: A carbon film forming method including a step in which, inside a film formation chamber provided with a filamentous cathode electrode, an anode electrode disposed around the perimeter of the cathode electrode, and a substrate holder disposed at a position that is separated from the cathode electrode, a disk-like substrate that has a central aperture is disposed in the substrate holder so that one surface of the substrate is opposite the cathode electrode, and a columnar member that has a diameter equal to or greater than a diameter of the central aperture and that has a height equal to or greater than the diameter is disposed with clearance from the cathode electrode and the substrate so that its central axis is coaxial with a central axis of the substrate, one of its circular surfaces is oriented toward the cathode electrode, and its other circular surface is parallel to the one surface of the substrate; and a step in which carbon film is formed on the one surface of the substrate by causing emission of carb
    Type: Application
    Filed: May 21, 2010
    Publication date: May 24, 2012
    Applicant: SHOWA DENKO HD SINGAPORE PTE. LTD.
    Inventor: Ichiro Ota
  • Patent number: 8182883
    Abstract: A method of forming a protective film of a magnetic recording medium is provided that achieves a good bonding characteristic with a lubricant film and at the same time, suppressing adhesion of contamination gases, to attain a reduced thickness of the magnetic recording medium. The method includes forming a protective film on a lamination including a substrate and a metallic film layer formed on the substrate, by means of a plasma CVD method using a raw material of a hydrocarbon gas, and performing a surface treatment on the protective film. The surface treatment includes a plasma treatment with argon gas, and a plasma treatment with a gas containing at least nitrogen gas.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: May 22, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Naruhisa Nagata
  • Publication number: 20120121891
    Abstract: The present invention relates to a 3-dimensional nanostructure having nanomaterials stacked on a graphene substrate; and more specifically, to a 3-dimensional nanostructure having at least one nanomaterial selected from nanotubes, nanowires, nanorods, nanoneedles and nanoparticles grown on a reduced graphene substrate. The present invention enables the achievement of a synergy effect of the 3-dimensional nanostructure hybridizing 1-dimensional nanomaterials and 2-dimensional graphene. The nanostructure according to the present invention is excellent in flexibility and elasticity, and can easily be transferred to any substrate having a non-planar surface. Also, all junctions in nanomaterials, a metal catalyst and a graphene film system form the ohmic electrical contact, which allows the nanostructure to easily be incorporated into a field-emitting device.
    Type: Application
    Filed: September 20, 2010
    Publication date: May 17, 2012
    Inventors: Sang Ouk Kim, Won Jong Lee, Duck Hyun Lee, Tae Hee Han, Ji Eun Kim, Jin Ah Lee, Keon Jae Lee
  • Patent number: 8178155
    Abstract: A method of manufacturing a high surface area per unit weight carbon electrode includes providing a substrate, depositing a carbon-rich material on the substrate to form a film, and after the depositing, activating the carbon-rich material to increase the surface area of the film of carbon-rich material. Due to the activation process being after deposition, this method enables use of low cost carbon-rich material to form a carbon electrode in the capacitor. The electrode may be used in capacitors, ultracapacitors and lithium ion batteries. The substrate may be part of the electrode, or it may be sacrificial—being consumed during the activation process. The carbon-rich material may include any of carbonized material, carbon aerogel and metal oxides, such as manganese and ruthenium oxide. The activation may include exposing the carbon-rich material to carbon dioxide at elevated temperature, in the range of 300 to 900 degrees centigrade.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: May 15, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Nag B. Patibandla
  • Publication number: 20120107525
    Abstract: Disclosed is a device which uses CO2 in exhaust gases as a carbon source and immobilises the carbon (C) in the CO2 to create an advanced carbon fuel in the form of useful, high added-value nanocarbon structures such as multi-layer carbon-nanotubes, carbon-onions or the like, and which also reduces the quantity of the CO2 contained in exhaust gases that is emitted into the atmosphere. A reactor is provided with at least: a substrate upon the surface whereof a catalyst layer of iron or the like is formed; a heat source means for heating the substrate; a gas introducing means for introducing carbon oxide containing gas onto the surface of the substrate; a microwave plasma generation means for generating microwave plasma on the surface of the substrate; and a power supply means, for the generation of microwave plasma.
    Type: Application
    Filed: July 8, 2010
    Publication date: May 3, 2012
    Inventor: Nobuo Ohmae
  • Patent number: 8158217
    Abstract: A carbon nanotube-infused fiber and a method for its production are disclosed. Nanotubes are synthesized directly on a parent fiber by first applying a catalyst to the fiber. The properties of the carbon nanotube-infused fiber will be a combination of those of the parent fiber as well as those of the infused carbon nanotubes.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: April 17, 2012
    Assignee: Applied Nanostructured Solutions, LLC
    Inventors: Tushar K. Shah, Slade H. Gardner, Mark R. Alberding
  • Patent number: 8157446
    Abstract: A cage for a roller bearing comprises a base body with a plurality of pockets for the reception of the roller elements of the roller bearing. At least a part of the surface of the base body is coated with a layer consisting of or containing fullerene-like carbon nitride (FL-CNx), wherein an inter-layer of chromium (Cr) or aluminum (Al) or molybdenum (Mo) or titanium (Ti) or tungsten (W) or a diamond-like coating (DLC) or a metal-mix diamond-like coating (Me-DLC) is arranged between the surfaces of the base body and the layer consisting of or containing fullerene-like carbon nitride.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: April 17, 2012
    Assignee: Aktiebolaget SKF
    Inventors: Steuart Horton, Lars Hultman
  • Publication number: 20120082803
    Abstract: A protective film is disclosed that is mainly composed of a tetrahedral amorphous carbon (ta-C film) that is denser than a DLC film formed by a plasma CVD method and containing aggregate particles so reduced as to a necessary and sufficient level, to provide a method of manufacturing such a protective film, and to provide a magnetic recording medium comprising such a protective film. The film is mainly composed of a ta-C film formed by a filtered cathodic arc method using a cathode target of glass state carbon. A magnetic recording medium is disclosed which includes a substrate, a magnetic recording layer, and the protective film mainly composed of a ta-C film.
    Type: Application
    Filed: December 12, 2011
    Publication date: April 5, 2012
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Naruhisa NAGATA
  • Patent number: 8147927
    Abstract: The present invention relates to a multilayered structure including at least one diamond layer and methods of making the multilayered structures. The multilayered structure includes a diamond layer having a top surface and a bottom surface, a first thin adhesion layer on the top surface, a second thin adhesion layer on the bottom surface, a first metal layer having a thermal conductivity greater than 200 W/m-K and a coefficient of thermal expansion greater than 12 ppm/K, wherein the first metal layer is deposited on the first thin adhesion layer, and a second metal layer having a thermal conductivity greater than 200 W/m-K and a coefficient of thermal expansion greater than 12 ppm/K, wherein the second metal layer is deposited on the second thin adhesion layer.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: April 3, 2012
    Assignee: SP3, Inc.
    Inventors: Jerry Wayne Zimmer, Gerard James Chandler
  • Publication number: 20120052215
    Abstract: Disclosed herein is a method for producing a plastic container coated with a thin film that is excellent in gas barrier properties, film coloration and film adhesiveness without using an external electrode having a special shape while suppressing deposition of foreign matters such as carbon powders.
    Type: Application
    Filed: June 25, 2009
    Publication date: March 1, 2012
    Applicant: KIRIN BEER KABUSHIKI KAISHA
    Inventors: Masaki Nakaya, Mari Shimizu
  • Publication number: 20120045592
    Abstract: A plasma based deposition process to deposit thin film on the inner surfaces of the shaped objects such as plastic or metallic object like bottles, hollow tubes etc. at room temperature has been developed. In present invention uniform hydrogenated amorphous carbon (also called Diamond-Like Carbon, DLC) films on inner surfaces of plastic bottles is successfully deposited. Applications of such product include entire food and drug industries. There is a huge demand of polyethylene terephthalate (PET) or polyethylene naphthalate (PEN)) bottles, meant for the storage of potable water, carbonated soft drinks, wines, medicines etc. However, the higher cost prohibits their wide, spread use. The cheaper alternative is to use plastic bottles inside coated with chemically inert material such as Diamond-Like Carbon (DLC) will be commercially viable. Inventor process can be scaled up for mass production.
    Type: Application
    Filed: January 27, 2010
    Publication date: February 23, 2012
    Inventors: Sushil Kumar, Prakash Narain Dixit, Chandra Mohan Singh Rauthan
  • Publication number: 20120045591
    Abstract: A plasma processing apparatus includes a holder holding an object to be processed in a vacuum chamber while being electrically connected to the object, a first take-up portion configured to take up an electrically conductive sheet and set at a potential different from that of the object at the time of plasma processing, and a second take-up portion configured to take up the electrically conductive sheet which is fed from the first take-up portion and passes through a position facing a processing surface of the object held by the holder.
    Type: Application
    Filed: August 1, 2011
    Publication date: February 23, 2012
    Applicant: CANON ANELVA CORPORATION
    Inventor: Ge Xu
  • Patent number: 8119241
    Abstract: A method for growing a low-resistance phosphorus-doped epitaxial thin film having a specific resistance of 300 ?cm or less at 300 K on a principal surface of a {111} monocrystal substrate under conditions in which the phosphorus atom/carbon atom ratio is 3% or higher, includes the principal surface having an off-angle of 0.50° or greater. The diamond monocrystal having a low-resistance phosphorus-doped diamond epitaxial thin film is such that the thin-film surface has an off-angle of 0.50° or greater with respect to the {111} plane, and the specific resistance of the low-resistance phosphorus-doped diamond epitaxial thin film is 300 ?cm or less at 300 K.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: February 21, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Akihiko Ueda, Kiichi Meguro, Yoshiyuki Yamamoto, Yoshiki Nishibayashi, Takahiro Imai
  • Patent number: 8119240
    Abstract: A coating (20) for a component of a power transmission system (10) and a method of coating a substrate is provided. The coating is substantially metal-free with a low hydrogen to carbon ratio. The method includes placing a graphite carbon target and the substrate in a magnetron sputtering chamber. The magnetron sputtering chamber is evacuated and filled with gas. The graphite carbon target is sputtered by the ionized inert and/or hydrogen gas so that the substrate is coated with a metal-free diamond-like-carbon coating.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: February 21, 2012
    Assignee: United Technologies Corporation
    Inventor: Clark V. Cooper
  • Patent number: 8110255
    Abstract: The present invention discloses a method for preparation of a hybrid comprising magnetite nanoparticles and carbon nitride nanotubes, comprising: preparing carbon nitride nanotubes by plasma chemical vapor deposition (CVD); dissolving the prepared carbon nitride nanotubes in triethyleneglycol to form solution and adding Fe (acetylacetonate)3 to the solution to obtain a mixture; and heating and cooling the mixture to form a hybrid comprising magnetite nanoparticles and carbon nitride nanotubes, in which the carbon nitride nanotubes are doped with magnetite nanoparticles.
    Type: Grant
    Filed: April 21, 2010
    Date of Patent: February 7, 2012
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Jeung-Ku Kang, Jung-Woo Lee, Ravindranath Viswan, Yoon-Jung Choi, Yeob Lee, Se-Yun Kim
  • Patent number: 8105660
    Abstract: A method of forming a diamond-like carbon coating by plasma enhanced chemical vapor deposition on an internal surface of a hollow component having an inner surface. A reduced atmospheric pressure is created within a pipe or other hollow component to be treated. A diamondoid precursor gas is introduced to the interior of the component. A bias voltage is established between a first electrode and one or more second electrodes. The first electrode is or is attached to the component. The second electrode is externally offset from an opening of the component, by a hollow insulator. A plasma region is established adjacent an inner surface of the component and extends through the hollow insulator. The precursor gas comprises at least one diamondoid. The pressure and bias voltage are selected such as to cause the deposition of diamond-like carbon on the inner surface.
    Type: Grant
    Filed: May 1, 2008
    Date of Patent: January 31, 2012
    Inventors: Andrew W Tudhope, William J Boardman, Steven F Sciamanna, Thomas B Casserly, Robert M Carlson
  • Patent number: 8101273
    Abstract: The invention relates to a coating comprising a number of layered structures, each such layered structure comprising—a first layer comprising a diamond like nanocomposite layer, said first layer comprising carbon, hydrogen, oxygen and silicon; a second layer comprising a diamond like carbon layer. The number of layered structure is higher than 4 and is preferably between 10 and 100. The invention further relates to a method to deposit such a coating.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: January 24, 2012
    Assignee: Sulzer Metaplas GmbH
    Inventors: Jean-Marie Jacquet, Wim Pappaert, Marc Sercu, Koen Vanhollebeke
  • Publication number: 20110317340
    Abstract: Forming a 3D topology by forming a monolayer of nano-particles on a stainless steel surface, masking the stainless steel surface forming at least one unmasked regions, the unmasked region having an average density of nano-particles less than a critical average density, and introducing a plurality of exogenous atoms into the stainless steel surface only in the unmasked regions, the exogenous atoms causing the associated metal lattice to expand and harden and have an increase corrosion resistance, thereby selectively forming a 3D topology on the stainless steel surface.
    Type: Application
    Filed: November 1, 2010
    Publication date: December 29, 2011
    Applicant: APPLE INC.
    Inventors: Douglas J. Weber, Naoto Matsuyuki
  • Publication number: 20110311736
    Abstract: This invention adopts plasma-enhanced chemical vapor deposition using the apparatus including a chamber, a pair of rotary electrode reels including a feed-out reel and a take-up reel, a plasma source, a material gas supplier, and an exhaust unit, and includes applying a negative voltage applied to the rotary electrode reels from the plasma source while a conductive substrate is fed-out from the feed-out reel and is wound on the take-up reel so that the entire surface of the substrate portion between reels contacts the material gas, whereby plasma sheath is formed along the surface of the substrate portion between reels, and the material gas is activated in the plasma sheath and thus contacts the surface of the substrate, thus forming the film on the surface of the substrate.
    Type: Application
    Filed: March 11, 2011
    Publication date: December 22, 2011
    Applicant: KABUSHIKI KAISHA TOYOTA CHUO KENKYUSHO
    Inventors: Kazuyuki NAKANISHI, Takashi ISEKI, Yasuhiro OZAWA, Yuka YAMADA, Seiji MIZUNO, Katsumi SATO, Masafumi KOIZUMI, Yoshiyuki FUNAKI, Kyouji KONDO, Takayuki KIKUCHI
  • Patent number: 8080289
    Abstract: A method for making an aligned carbon nanotube includes the steps of a) applying a layer of a ferrosilicon alloy film onto a substrate, b) etching the layer of the ferrosilicon film to form a plurality of fine ferrosilicon alloy particles that are distributed properly on the substrate, and c) placing the substrate of step (b) into a microwave plasma enhanced chemical vapor deposition system, and supplying a mixture of a carbon-containing reaction gas and a balance gas at a predetermined flow ratio so as to grow carbon nanotubes on the fine ferrosilicon alloy particles, wherein said ferrosilicon alloy of step (a) comprises silicon ranging from 15 wt % to 25 wt %; and step (c) is conducted at a temperature ranging from 300 to 380° C.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: December 20, 2011
    Assignee: National Cheng Kung University
    Inventors: Jyh-Ming Ting, Kun-Hou Liao
  • Patent number: 8071161
    Abstract: A method for fabricating an electrode for electrochemical reactor is provided, wherein the electrode includes a porous carbon diffusion layer and a catalyst layer. The method includes a step of depositing the catalyst layer on the diffusion layer by a DLI-MOCVD process.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: December 6, 2011
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Sophie Mailley, Philippe Capron, Stéphanie Thollon, Thierry Krebs
  • Publication number: 20110293855
    Abstract: A method of producing a coated member in which a base material surface is at least partially coated with a diamond-like carbon film, the method includes: a diamond-like carbon film deposition process in which a diamond-like carbon film is formed on a surface of the base material by generating plasma by applying voltage to the base material in a processing chamber that stores the base material, while evacuating the processing chamber and introducing feedstock gas that contains at least a carbon compound into the processing chamber; and a hydrogenation process in which the deposited diamond-like carbon film is hydrogenated using hydrogen gas by stopping the voltage application and introducing hydrogen gas inducted instead of the feedstock gas, while the evacuation is being continued.
    Type: Application
    Filed: May 27, 2011
    Publication date: December 1, 2011
    Applicant: JTEKT CORPORATION
    Inventors: Masahiro SUZUKI, Toshiyuki SAITO, Kazuyoshi YAMAKAWA
  • Publication number: 20110274852
    Abstract: Disclosed is a method which enables stable and high-speed deposition of a diamond-like carbon film by plasma CVD using a general-purpose vacuum chamber without needing significant modification of the apparatus. Specifically, the method forms a diamond-like carbon film on a substrate by plasma CVD, in which the diamond-like carbon film is formed by applying a bipolar pulsed direct-current voltage to the substrate, feeding a toluene-containing gas to the chamber, and controlling the total gas pressure in the chamber at 4 Pa or more and 7 Pa or less.
    Type: Application
    Filed: December 28, 2009
    Publication date: November 10, 2011
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventors: Hirotaka Ito, Kenji Yamamoto
  • Publication number: 20110268943
    Abstract: A substrate coating and a method of forming the same are provided. The substrate coating includes a first layer formed on a substrate, in which the composition of the first layer includes at least silicon-rich-carbon, and the amount of silicon is about equal to or greater than the amount of carbon; and a second layer formed on the first layer, in which the composition of the second layer includes at least fluorine doped diamond-like-carbon. The substrate coating not only is easy to clean, has good wearing performance, and provides a smooth surface, but also has better adhesion to prevent peeling off.
    Type: Application
    Filed: March 11, 2011
    Publication date: November 3, 2011
    Applicant: WINSKY TECHNOLOGY LIMITED
    Inventors: Kit Ling NG, Xin Chao DUAN, Po Ching CHAN, Winston CHAN
  • Patent number: 8048494
    Abstract: A hollow diamond shell with a size of a few micrometer to hundreds of micrometer and having a geometrical shape and its fabrication method are disclosed. A diamond film is deposited by a CVD method and porous grits are used as a victim substrate to be etched later, so that the substrate can be easily removed by a capillary phenomenon that an etching solution can be intensively absorbed in a substrate etching process. Thus, a perfect diamond shell with only a plurality of fine pores with a nano size without any conspicuous opening can be obtained. Also, a diamond shell with a small opening of below 10 percent of the surface area of grits can be fabricated by controlling a nuclear generation of diamond particles.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: November 1, 2011
    Assignee: Korea Institute of Science and Technology
    Inventors: Jae-Kap Lee, Phillip John
  • Patent number: 8039108
    Abstract: A member (1) resistant to erosion by cavitation on which an amorphous carbon film coating is formed on a surface of a base material has at least a first amorphous carbon film coating (4) having a plurality of columnar structures (4a) on the surface of the base material (2), and a second amorphous carbon film coating (5) having a plurality of granular structures (5a) deposited with no orientation on the surface of the first amorphous carbon film coating (4).
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: October 18, 2011
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Takayasu Sato, Takaaki Kanazawa, Masaki Nanahara
  • Patent number: 8034419
    Abstract: Disclosed is a method relating to graded-composition barrier coatings comprising first and second materials in first and second zones. The compositions of one or both zones vary substantially continuously across a thickness of the zone in order to achieve improved properties such as barrier, flexibility, adhesion, optics, thickness, and tact time. The graded-composition barrier coatings find utility in preventing exposure of devices such as organic electro-luminescent devices (OLEDs) to reactive species found in the environment.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: October 11, 2011
    Assignee: General Electric Company
    Inventors: Ahmet Gun Erlat, Anil Duggal, Min Yan, Sheila Tandon, Brian Joseph Scherer
  • Patent number: 8033550
    Abstract: A piston ring (22) is covered at least partially with a layered structure (30). The layered structure (30) comprises: —a first intermediate layer (32) comprising at least one element of group IVB, group VB or group VIB; —a second intermediate layer (34) deposited on top of the first intermediate layer (32) and comprising a diamond-like nanocomposite composition; —a diamond-like carbon layer (36) deposited on top of the second intermediate layer (34).
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: October 11, 2011
    Assignee: Sulzer Metaplas GmbH
    Inventors: Jean-Marie Jacquet, Frederick G. Wietig
  • Patent number: 8029875
    Abstract: The present disclosure relates to a method for plasma ion deposition and coating formation. A vacuum chamber may be supplied, wherein the vacuum chamber is formed by a hollow substrate having a length, diameter and interior surface. A plasma may be formed within the chamber while applying a negative bias to the hollow substrate to draw ions from the plasma to the interior surface of the hollow substrate to deposit ions onto the interior surface and forming a coating. The coating may have a Vickers Hardness Number (Hv) of at least 500.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: October 4, 2011
    Assignee: Southwest Research Institute
    Inventors: Ronghua Wei, Christopher Rincon, James H. Arps
  • Publication number: 20110229657
    Abstract: An apparatus and method for forming a carbon protective layer on a substrate using a plasma CVD method allows a more uniform in-plane distribution of the carbon protective layer thickness. The apparatus includes an annular anode that generates a plasma beam and a disk-shaped shield disposed between the anode and the substrate. The anode, the shield, and the substrate are concentrically arranged so that a straight line connecting the centers of the anode and the substrate is perpendicular to the deposition surface of the substrate where the carbon protective layer is to be formed. The center of the shield is also on the straight line.
    Type: Application
    Filed: May 31, 2011
    Publication date: September 22, 2011
    Applicant: FUJI ELECTRIC DEVICE TECHNOLOGY CO., LTD.
    Inventor: Naruhisa NAGATA
  • Publication number: 20110229656
    Abstract: In an in-liquid plasma film-forming apparatus having: a vessel 1 being capable of accommodating a substrate “S” and a liquid “L” including raw material therein; an electrode 2 for in-liquid plasma, electrode 2 which is disposed in the vessel 1; an electric power device 3 for supplying electricity to the electrode 2 for in-liquid plasma; the electrode 2 for in-liquid plasma is equipped with: a main electrode 21 having a discharging end 22; an auxiliary electrode 26 not only facing the discharging end 22 but also being disposed between the discharging end 22 and the substrate “S” that face each other; and a plasma generating unit 29 having a space that is demarcated by a surface 22a of the discharging end 22 and a surface 26a of the auxiliary electrode 26 facing the surface 22a, and being for generating plasma by means of electricity being supplied to the main electrode 21.
    Type: Application
    Filed: March 2, 2009
    Publication date: September 22, 2011
    Applicants: Kabushiki Kaisha Toyota Jidoshokki, National University Corporation Ehime University
    Inventors: Kenji Shibata, Toshihisa Shimo, Kyoko Kumagai, Hidetaka Hayashi, Shinya Okuda, Shinfuku Nomura, Hiromichi Toyota
  • Patent number: 8017504
    Abstract: In a manufacturing flow for adapting the band gap of the semiconductor material with respect to the work function of a metal-containing gate electrode material, a strain-inducing material may be deposited to provide an additional strain component in the channel region. For instance, a layer stack with silicon/carbon, silicon and silicon/germanium may be used for providing the desired threshold voltage for a metal gate while also providing compressive strain in the channel region.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: September 13, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Uwe Griebenow, Jan Hoentschel, Kai Frohberg
  • Patent number: 8017197
    Abstract: A microwave is radiated into a processing chamber (1) from a planar antenna member of an antenna (7) through a dielectric plate (6). With this, a C5F8 gas supplied into the processing chamber (1) from a gas supply member (3) is changed (activated) into a plasma so as to form a fluorine-containing carbon film of a certain thickness on a semiconductor wafer (W). Each time a film forming process of forming a film on one wafer is carried out, a cleaning process and a pre-coating process are carried out. In the cleaning process, the inside of the processing chamber is cleaned with a plasma of an oxygen gas and a hydrogen gas. In the pre-coating process, the C5F8 gas is changed into a plasma, and a pre-coat film of fluorine-containing carbon thinner than the fluorine-containing carbon film formed in the film forming process is formed.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Kohei Kawamura
  • Patent number: 8007875
    Abstract: In a method of forming carbon nano-tubes, a catalytic film is formed on a substrate. The catalytic film is then transformed into preliminary catalytic particles. Thereafter, the preliminary catalytic particles are transformed into catalytic particles. Carbon nano-tubes then grow from the catalytic particles. The carbon nano-tubes have relatively high conductivity and high number density.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: August 30, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sik Yoon, Young-Moon Choi, Sun-Woo Lee
  • Publication number: 20110195203
    Abstract: A gas barrier film of high flexibility exhibiting a good gas barrier property over a long period of time is manufactured by a method of manufacturing a gas barrier film by capacitively-coupled plasma CVD using silane gas, ammonia gas, and hydrogen gas and/or nitrogen gas as gaseous raw materials, in which a silicon nitride layer is deposited on a base film at a ratio P/Q of less than 10 [W/sccm], with Q being the silane gas flow rate and P being the plasma-generating electric power, a deposition pressure of 20 to 200 Pa, and at a base film temperature of not more than 70° C. under a bias potential of not more than ?100 V applied to the base film.
    Type: Application
    Filed: February 10, 2011
    Publication date: August 11, 2011
    Applicant: FUJIFILM CORPORATION
    Inventor: Tatsuya FUJINAMI
  • Publication number: 20110180513
    Abstract: A hollow carbon sphere having a carbon shell and an inner core is disclosed. The hollow carbon sphere has a total volume that is equal to a volume of the carbon shell plus an inner free volume within the carbon shell. The inner free volume is at least 25% of the total volume. In some instances, a nominal diameter of the hollow carbon sphere is between 10 and 180 nanometers.
    Type: Application
    Filed: April 6, 2011
    Publication date: July 28, 2011
    Applicant: Toyota Motor Engineering & Manufacturing North America, Inc.
    Inventors: Claudia Luhrs, Jonathan Phillips, Monique N. Richard, Angela Michelle Knapp
  • Publication number: 20110165057
    Abstract: To provide a plasma CVD device capable of increasing voltage VDC that is a DC component generated at the electrode during high-frequency discharge in CVD deposition. The plasma CVD device according to the present invention includes a chamber 1, a holding electrode 2 disposed in the interior of the chamber and adapted for holding a substrate on which a film is to be deposited, a high frequency power supply 8 connected electrically with the holding electrode, a counter electrode 12 disposed opposite to the substrate on which a film is to be deposited held by the holding electrode and connected with an earth power supply or a float power supply, a raw material gas supply mechanism for supplying a raw material gas into a space 13 between the counter electrode and the holding electrode, and an evacuation mechanism for evacuating the interior of the chamber, wherein the surface area “a” of the holding electrode and the surface area “b” of the counter electrode satisfy a formula below, b/a?2.
    Type: Application
    Filed: June 30, 2009
    Publication date: July 7, 2011
    Inventors: Yuuji Honda, Takeharu Kawabe, Haruhito Hayakawa, Koji Abe
  • Publication number: 20110165346
    Abstract: Classes of liquid aminosilanes have been found which allow for the production of silicon carbo-nitride films of the general formula SixCyNz. These aminosilanes, in contrast, to some of the precursors employed heretofore, are liquid at room temperature and pressure allowing for convenient handling. In addition, the invention relates to a process for producing such films. The classes of compounds are generally represented by the formulas: and mixtures thereof, wherein R and R1 in the formulas represent aliphatic groups typically having from 2 to about 10 carbon atoms, e.g., alkyl, cycloalkyl with R and R1 in formula A also being combinable into a cyclic group, and R2 representing a single bond, (CH2)n, a ring, or SiH2.
    Type: Application
    Filed: March 18, 2011
    Publication date: July 7, 2011
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Arthur Kenneth Hochberg
  • Publication number: 20110164842
    Abstract: A layered composite which has a substrate made of a ferrous material with a PVD/PACVD coating and a corrosion protection layer that is disposed on the PVD/PACVD coating. The PVD/PACVD coating has pores into which the corrosion protection layer protrudes.
    Type: Application
    Filed: August 26, 2009
    Publication date: July 7, 2011
    Applicant: SCHAEFFLER TECHNOLOGIES GMBH & CO. KG
    Inventors: Tim Matthias Hosenfeldt, Bertram Haag, Yashar Musayev
  • Patent number: 7964280
    Abstract: A method of producing CVD diamond having a high color, which is suitable for optical applications, for example. The method includes adding a gaseous source comprising a second impurity atom type to counter the detrimental effect on colour caused by the presence in the CVD synthesis atmosphere of a first impurity atom type. The described method applies to the production of both single crystal diamond and polycrystalline diamond.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: June 21, 2011
    Inventors: Stephen David Williams, Daniel James Twitchen, Philip Maurice Martineau, Geoffrey Alan Scarsbrook, Ian Friel
  • Publication number: 20110128667
    Abstract: In a semiconductor device including a carbon-containing electrode and a method for fabricating the same, an electrode has a high work function due to a carbon-containing TiN layer contained therein. It is possible to provide a dielectric layer having a high permittivity and thus to reduce the leakage current by forming an electrode having a high work function. Also, sufficient capacitance of a capacitor can be secured by employing an electrode having a high work function and a dielectric layer having a high permittivity.
    Type: Application
    Filed: December 29, 2009
    Publication date: June 2, 2011
    Inventors: Kwan-Woo Do, Kee-Jeung Lee, Young-Dae Kim, Mi-Hyoung Lee, Jeong-Yeop Lee
  • Patent number: 7947329
    Abstract: Methods of applying a nanocrystalline diamond film to a cutting tool are provided. In the methods, the cutting tool comprises tungsten carbide and has a cutting edge with a radius of curvature of no more than about 1 ?m. The methods can comprise seeding a cutting surface of the cutting tool with a diamond nanopowder, the cutting surface having a reduced cobalt content, and depositing a nanocrystalline diamond film having a thickness of no more than about 1 ?m onto the seeded cutting surface. The methods can also comprise implanting carbon ions into a cutting surface of the cutting tool to provide a carbide rich cutting surface and depositing a nanocrystalline diamond film having a thickness of no more than about 1 ?m onto the carbide-rich cutting surface.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: May 24, 2011
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Anirudha Vishwanath Sumant, Robert William Carpick, Frank Ewald Pfefferkorn
  • Patent number: 7947372
    Abstract: The invention relates to a metal substrate coated at least partially with a layered structure. The layered structure comprises an intermediate layer deposited on the metal substrate and an amorphous carbon layer deposited on the intermediate layer. The amorphous carbon layer has a Young's modulus lower than 200 GPa. The intermediate layer comprises a tetrahedral carbon layer having a Young's modulus higher than 200 GPa. The invention further relates to a method to reduce the wear on a counterbody of a metal substrate coated with a tetrahedral carbon coating.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: May 24, 2011
    Assignee: Sulzer Metaplas GmbH
    Inventor: Erik Dekempeneer
  • Publication number: 20110111171
    Abstract: A seed crystal for silicon carbide single crystal growth (13) which is attached to the lid of a graphite crucible charged with a raw material silicon carbide powder. The seed crystal includes a seed crystal (4) formed of silicon carbide having one surface defined as a growth surface (4a) for growing a silicon carbide single crystal by a sublimation method, and a carbon film (12) formed on the surface (4b) opposite to the growth surface of the seed crystal (4). Further, the film density of the carbon film (12) is 1.2 g/cm3 to 3.3 g/cm3.
    Type: Application
    Filed: June 12, 2009
    Publication date: May 12, 2011
    Applicant: SHOWA DENKO K.K.
    Inventors: Naoki Oyanagi, Hisao Kogoi
  • Patent number: 7939141
    Abstract: A method for the production of fluorinated carbon nanostructures such as carbon black is disclosed, wherein a plasma is generated in a plasma chamber and a fluorocarbon, or a fluorocarbon containing mixture, is supplied to the plasma to convert at least some of the fluorocarbon into a fluorinated carbon material. Direct pyrolysis of a fluorocarbon, or a mixture containing this, provides a one-step method for producing fluorinated carbon nanostructures with a formula CFx, where 0.06<x<0.15, the particles having a relatively narrow spread of diameters, and exhibiting excellent hydrophobicity.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: May 10, 2011
    Assignee: C-Tech Innovation Limited
    Inventors: Edward Stephen Matthews, Xiaoming Duan, Richard Llewellyn Powell
  • Publication number: 20110081503
    Abstract: A method of integrating a fluorine-based dielectric with a metallization scheme is described. The method includes forming a fluorine-based dielectric layer on a substrate, forming a metal-containing layer on the substrate, and adding a buffer layer or modifying a composition of the fluorine-based dielectric layer proximate an interface between the fluorine-based dielectric layer and the metal-containing layer.
    Type: Application
    Filed: October 6, 2009
    Publication date: April 7, 2011
    Inventors: Jianping ZHAO, Lee CHEN
  • Publication number: 20110076476
    Abstract: The invention relates to a hydrogenated amorphous carbon coating and to a method for the production thereof. It also relates to devices having such a coating. The method of the invention consists in producing a hydrogenated amorphous carbon coating comprising at least two layers of hydrogenated amorphous carbon, each of said layers having chemical compositions and physical and mechanical properties that are identical, and with thicknesses that are identical or different. The coating of the invention finds many applications, in particular in the mechanical field for parts subject to considerable wear and rubbing problems. It may also be applicable, in particular, in the field of surgical implants and in the MEMS (microelectromechanical systems) field.
    Type: Application
    Filed: October 20, 2008
    Publication date: March 31, 2011
    Applicant: CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE
    Inventors: Caroline Chouquet, Cédric Ducros, Frédéric Sanchette
  • Publication number: 20110045208
    Abstract: The present invention relates to a diamond-like carbon film forming apparatus and a method of forming a diamond-like carbon film. A diamond-like carbon film forming apparatus using plasma chemical vapor deposition of the present invention is provided with a member (4) comprised of a substrate (2) surrounded by a conductive mask material (3) and a DC single pulse power supply (6) and superimposition DC power supply (26) and/or high frequency power supply (7) for supplying power voltage with the wall of the chamber (5) of the diamond-like carbon film forming apparatus, which apparatus selects and applies to the member (4) either a negative single pulse voltage from the DC single pulse power supply (6) and said superimposition DC power supply (26) or a high frequency voltage of the high frequency power supply (7) so as to form a segment structure diamond-like carbon film on the substrate (2) surrounded by the mask material (3).
    Type: Application
    Filed: February 10, 2009
    Publication date: February 24, 2011
    Applicant: IMOTT INC.
    Inventors: Naoto Ohtake, Makoto Matsuo, Yoshinao Iwamoto
  • Publication number: 20110045207
    Abstract: To improve the crystallinity of carbon nanowalls. The method of the invention for producing carbon nanowalls, includes forming carbon nanowalls on a surface of a base in a plasma atmosphere containing hydrogen and a raw material containing at least carbon and fluorine as its constituent elements, oxygen plasma is added to the plasma atmosphere. The hydrogen plasma was generated through injecting, to the plasma generation site, hydrogen radicals generated at a site different from the plasma atmosphere. The raw material is at least one member selected from among C2F6, CF4, and CHF3.
    Type: Application
    Filed: March 24, 2008
    Publication date: February 24, 2011
    Inventors: Masaru Hori, Mineo Hiramatsu, Hioryuki Kano
  • Patent number: RE42887
    Abstract: A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: November 1, 2011
    Assignee: Case Western Reserve University
    Inventors: Mehran Mehregany, Christian A. Zorman, Xiao-An Fu, Jeremy Dunning