Silicon Containing Coating Material Patents (Class 427/578)
  • Publication number: 20100129994
    Abstract: A method for forming a film on a substrate comprising: heating a solid organosilane source in a heating chamber to form a gaseous precursor; transferring the gaseous precursor to a deposition chamber; and reacting the gaseous precursor using an energy source to form the film on the substrate. The film comprises Si and C, and optionally comprises other elements such as N, O, F, B, P, or a combination thereof.
    Type: Application
    Filed: February 27, 2008
    Publication date: May 27, 2010
    Inventors: Yousef Awad, Sebastien Allen, Michael Davies, Alexandre Gaumond, My Ali El Khakani, Riadh Smirani
  • Publication number: 20100124621
    Abstract: A method of forming an insulation film by alternating multiple times, respectively, a process of adsorbing a precursor onto a substrate and a process of treating the adsorbed surface using reactant gas and a plasma, wherein a plasma is applied in the process of supplying the precursor.
    Type: Application
    Filed: November 13, 2009
    Publication date: May 20, 2010
    Applicant: ASM JAPAN K.K.
    Inventors: Akiko Kobayashi, Akira Shimizu, Nobuyoshi Kobayashi, Woo-Jin Lee
  • Publication number: 20100119733
    Abstract: Provided is a method of immobilizing an active material on a surface of a substrate. The method including cleaning a substrate, functionalizing a surface of the substrate using a hydroxyl group, functionalizing the surface of the substrate at atmospheric pressure using a vaporized organic silane compound, and immobilizing an active material to an end of the surface of the substrate. Therefore, since evacuation or the use of carrier gas is not necessary, a uniform, high-density, single-molecular, silane compound film can be formed inexpensively, simply, and reproducibly, and an active material can be immobilized to the single-molecular silane compound film.
    Type: Application
    Filed: April 7, 2009
    Publication date: May 13, 2010
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: An-Soon KIM, Chil-Seong AH, Hye-Kyoung YANG, Chan-Woo PARK, Jong-Heon YANG, Chang-Geun AHN, In-Bok BAEK, Tae-Youb KIM, Gun-Yong SUNG, Seon-Hee PARK
  • Publication number: 20100098885
    Abstract: A plasma silanization system includes a processing vessel having a metal shelf and a non-metallic component support configured to elevate a component above the metal shelf to prevent excess silane deposition. A method of applying silane to a component in a plasma processing apparatus having a metal shelf includes placing a non-metallic component support on the metal shelf and placing a component on the non-metallic component support to prevent excess silane deposition.
    Type: Application
    Filed: October 21, 2008
    Publication date: April 22, 2010
    Applicant: UNITED TECHNOLOGIES CORPORATION
    Inventors: John H. Vontell, Russell Lee Kam
  • Publication number: 20100092781
    Abstract: A method and process for forming a barrier layer on a flexible substrate are provided. A continuous roll-to-roll method includes providing a substrate to a processing chamber using at least one roller configured to guide the substrate through the processing chamber. The process includes depositing a barrier layer adjacent the substrate by exposing at least one portion of the substrate that is within the processing chamber to plasma comprising a silicon-and-carbon containing precursor gas. Also provided is a coated flexible substrate comprising a barrier layer based on the structural unit SiC:H, or SiOC:H, or SiOCN:H. The barrier layer possesses high density and low porosity. The barrier layer exhibits low water vapor transmission rate (WVTR) in the range of 10?2-10?4 g·m?2d?1 and is appropriate for very low permeability applications.
    Type: Application
    Filed: October 9, 2009
    Publication date: April 15, 2010
    Applicant: DOW CORNING CORPORATION
    Inventors: Ludmil M. Zambov, Vasgen A. Shamamian, William K. Weidner, Mark J. Loboda, Steve A. Snow, Glenn A. Cerny
  • Patent number: 7695776
    Abstract: The present invention related to an improved structure of an optically transparent element that can be used in optical scanners, supermarket scanners, lenses for eyeglasses, etc. The application of oxynitride PECVD films provide good hardness and optical transparency. Such films displaying these physical properties are extremely useful as a scratch resistant coatings in lenses and systems in which an article contacts a transparent surface, such as in scanners and in environments in which intermittent, environmental contact occurs such as in displays for computers and suchlike and in liquid crystal displays, touch displays and compact disks.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corp.
    Inventors: Jeffrey Curtis Hedrick, David Andrew Lewis, Stanley Joseph Whitehair
  • Publication number: 20100075077
    Abstract: In order to improve the emptying of residual contents from containers, such as pharmaceutical packaging, the invention provides corresponding substrates with a hydrophobic coating. Provided for this purpose is a composite material which comprises a substrate and a coating deposited on it, which forms at least a part of the surface of the coated substrate, with the coating having a compound containing the elements C, O, and H, with further elements, apart from Si, C, H, having a content of less than 10 at %, preferably less than 5 at %, characterized in that this compound has a composition SiOxCyHz, in which x is at most 1.2.
    Type: Application
    Filed: December 12, 2007
    Publication date: March 25, 2010
    Inventors: Matthias Bicker, Hartmut Bauch, Andreas Hahn, Stefan Bauer, Manfred Lohmeyer, Robert Hormes
  • Patent number: 7666478
    Abstract: The present invention is related to a method for immobilising a biomolecule on a surface by generating and maintaining an atmospheric pressure plasma, the method comprising the steps of: introducing a sample in the space between two electrodes, a mixed atmosphere being present between the electrodes, applying an alternating voltage to the electrodes for generating and maintaining a plasma in the volumetric space between the electrodes, characterized in that the mixed atmosphere comprises an inert gas or nitrogen, an aerosol comprising a reactive precursor and an aerosol comprising a biomolecule, the reactive precursor and biomolecule being deposited and immobilized during the depositing step.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: February 23, 2010
    Assignee: Vlaamse Instelling Voor Technologisch Onderzoek (VITO)
    Inventors: Sabine Paulussen, Winnie Dejonghe, Jan Meneve, Ludo Diels
  • Patent number: 7651741
    Abstract: A support that includes a flexible substrate and provided thereon, one or two or more polymer layers and one or two or more sealing layers, wherein at least one of the polymer layers and the sealing layers is formed by a process including exciting a reactive gas at a space between opposed electrodes at atmospheric pressure or approximately atmospheric pressure by electric discharge to be in the plasma state, and exposing the flexible substrate, the polymer layer or the sealing layer to the reactive gas in the plasma state.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: January 26, 2010
    Assignee: Konica Corporation
    Inventors: Taketoshi Yamada, Hiroshi Kita
  • Publication number: 20090324850
    Abstract: Described are processes for repairing plastic glazing and for the local application of a plasma coating using a plasma depositing device to an edge created by the removal of excess or unwanted plastic from plastic.
    Type: Application
    Filed: May 1, 2008
    Publication date: December 31, 2009
    Inventor: Steven M. Gasworth
  • Publication number: 20090305010
    Abstract: Methods of fabricating an electromechanical systems device that mitigate permanent adhesion, or stiction, of the moveable components of the device are provided. The methods provide an amorphous silicon sacrificial layer with improved and reproducible surface roughness. The amorphous silicon sacrificial layers further exhibit excellent adhesion to common materials used in electromechanical systems devices.
    Type: Application
    Filed: June 5, 2008
    Publication date: December 10, 2009
    Applicant: QUALCOMM MEMS Technologies, Inc.
    Inventors: James Randolph Webster, Thanh Nghia Tu, Xiaoming Yan, Wonsuk Chung
  • Patent number: 7629033
    Abstract: A plasma processing method for forming a silicon nitride film on a silicon oxide film, the method including preparing a substrate on which the silicon oxide film is formed; generating plasma by supplying a nitrogen gas onto the silicon oxide film; and nitride-processing the silicon oxide film by the plasma so as to modify an upper portion of the silicon oxide film into the silicon nitride film.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: December 8, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Toshiaki Hongo, Tetsu Osawa
  • Patent number: 7629032
    Abstract: A plasma buildup method for building up an optical fiber perform. The method includes the steps of: providing a plasma torch having an outlet nozzle adjacent to a primary perform, wherein an interaction zone is defined between the outlet nozzle and the primary preform; feeding the plasma torch with a plasma-generating gas in the presence of a silica-based material so as to deposit a buildup material on the primary preform; and introducing a reducing element into the interaction zone, the reducing element reacting to induce reduction of the nitrogen oxides produced by interaction between nitrogen and oxygen in the presence of the plasma generated by the torch.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: December 8, 2009
    Assignee: Draka Comteq B.V.
    Inventors: André Appriou, Charles Truchot, Sabine Guina
  • Publication number: 20090280276
    Abstract: The invention relates to a method for plasma-assisted chemical vapour deposition for coating or material removal on the inner wall of a hollow body (42). The method involves introducing a gas lance (44) into the hollow body (42) and forming a cavity plasma (45) to form a plasma cloud arranged at the tip of the gas lance by applying an electric radio-frequency field to an RF electrode (41).
    Type: Application
    Filed: July 11, 2007
    Publication date: November 12, 2009
    Applicant: Ralf Stein
    Inventor: Oliver Nöll
  • Publication number: 20090280268
    Abstract: A method and an apparatus are proposed for simultaneously coating the inner walls of a plurality of hollow containers, such as bottles, with fluid-impermeable barrier layers applied by a PECVD method with the use of transversal antennas capable of creating plasma having density increased in the vicinity of the inner walls of the containers. The barrier-layer application period is divided into a coating period and a noncoating cooling period, with RF energy constantly maintained under working conditions with shunting thereof from the coating station to the dummy loads during noncoating periods used for cooling the plastic containers. The apparatus comprises a vacuum chamber with a conveyor that transports the containers in a preoriented state for interaction with a plurality of aligning elements that can be inserted into the container openings for subsequent fixation at equal distances in positions aligned with the antennas that can be inserted into the containers for generation of the coating-applying plasma.
    Type: Application
    Filed: May 12, 2008
    Publication date: November 12, 2009
    Inventors: Yuri Glukhoy, Tatiana Kerzhner, Anna Ryaboy
  • Patent number: 7601402
    Abstract: A method for forming a porous insulating film includes an insulating film forming step and a hole forming step. During the insulating film forming step, plasma processing of an organic siloxane group compound and an organic compound having a polar group forms an insulating film having a siloxane structure. Molecules of the organic compound having a polar group are contained within this siloxane structure. During the hole forming step, excitation gas removes molecules of the organic compound having a polar group to provide holes in the insulating film. According to this method, an insulating film with a predetermined thickness and holes formed uniformly in the thickness direction can be obtained.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: October 13, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Yusaku Kashiwagi, Yoshihisa Kagawa, Kohei Kawamura, Gishi Chung
  • Patent number: 7595097
    Abstract: A system to coat a substrate includes a deposition chamber maintained at sub-atmospheric pressure, one or more arrays containing two or more expanding thermal plasma sources associated with the deposition chamber, and at least one injector containing orifices for each array. The substrate is positioned in the deposition chamber and each expanding thermal plasma source produces a plasma jet with a central axis, while the injector injects vaporized reagents into the plasma to form a coating that is deposited on the substrate. The injector orifices are located within a specified distance from the expanding thermal plasma source to obtain generally a coating with generally uniform coating properties.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: September 29, 2009
    Assignee: Exatec, L.L.C.
    Inventors: Charles D. Iacovangelo, Thomas Miebach, Michael W. Mercedes, Steven M. Gasworth, Michael R. Haag
  • Publication number: 20090238989
    Abstract: A method for coating a fuel cell component is provided. The method includes the steps of providing a fuel cell component, and forming a coating on a surface of the fuel cell component with a plasma jet. The step of forming the coating may include applying a coating precursor to a surface of the fuel cell component and then reacting the coating precursor with the plasma jet to form the coating. The step of forming the coating may also include growing the coating on the surface of the fuel cell component by delivering the plasma jet containing the coating precursor.
    Type: Application
    Filed: March 24, 2008
    Publication date: September 24, 2009
    Inventors: Gayatri Vyas Dadheech, Youssef M. Mikhail, Mahmoud H. Abd Elhamid, Jeffrey M. Guzda
  • Publication number: 20090233007
    Abstract: The photovoltaic structure comprises a thin film coating on a transparent substrate, the thin film comprising an effective amount of nanocrystalline silicon embedded in a matrix of amorphous and/or microcrystalline silicon. A transparent conducting oxide layer on a layer of non-conductive transparent oxide provides light-trapping capability as well as electrical conductivity where needed. A chemical vapor deposition (“CVD”) reactor provides improved gas distribution to the substrates being coated in the reactor. An improved sputtering process and an improved RF plasma-enhanced CVD manufacturing method both using high levels of hydrogen in the hydrogen-silane mixture and high electrical power levels for the plasma to increase the speed and to lower the cost of manufacturing.
    Type: Application
    Filed: March 17, 2008
    Publication date: September 17, 2009
    Inventor: Anna Selvan John Appadurai
  • Publication number: 20090229664
    Abstract: The photovoltaic structure comprises a thin film coating on a transparent substrate, the thin film comprising an effective amount of nanocrystalline silicon embedded in a matrix of amorphous and/or microcrystalline silicon. A transparent conducting oxide layer on a layer of non-conductive transparent oxide provides light-trapping capability as well as electrical conductivity where needed. A chemical vapor deposition (“CVD”) reactor provides improved gas distribution to the substrates being coated in the reactor. An improved sputtering process and an improved RF plasma-enhanced CVD manufacturing method both using high levels of hydrogen in the hydrogen-silane mixture and high electrical power levels for the plasma to increase the speed and to lower the cost of manufacturing.
    Type: Application
    Filed: March 17, 2008
    Publication date: September 17, 2009
    Inventor: Anna Selvan John Appadurai
  • Patent number: 7578889
    Abstract: Systematic and effective methodology to clean capacitively coupled plasma reactor electrodes and reduce surface roughness so that the cleaned electrodes meet surface contamination specifications and manufacturing yields are enhanced. Pre-cleaning of tools used in the cleaning process helps prevent contamination of the electrode being cleaned.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: August 25, 2009
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Yaobo Yin, Shun Jackson Wu, Armen Avoyan, John E. Daugherty, Linda Jiang
  • Publication number: 20090202762
    Abstract: This invention relates to a plastic formed article having a vapor-deposited film on a surface of a plastic substrate by a plasma CVD method, the vapor-deposited film including an organosilicon vapor-deposited layer on the surface of the plastic substrate 1 and containing no oxygen, and a silicon oxide vapor-deposited layer on the organosilicon vapor-deposited layer. The plastic formed article not only features favorable gas-barrier property but also effectively prevents the generation of offensive odor at the time of vapor deposition and, further, offers excellent flavor-retaining property.
    Type: Application
    Filed: July 26, 2007
    Publication date: August 13, 2009
    Applicant: Toyo Seikan Kaisha, Ltd.
    Inventors: Kouji Yamada, Toshihide Ieki, Takeshi Aihara
  • Patent number: 7569256
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: August 4, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Mitsuhiro Ichijo
  • Publication number: 20090185126
    Abstract: Provided are a metal line, a method of forming the same, and a display using the same. To increase resistance of a metal line having a multilayered structure of CuO/Cu and prevent blister formation, a plasma treatment is performed using a nitrogen-containing gas and a silicon-containing gas or using a hydrogen or argon as and the silicon-containing gas. Accordingly, a plasma treatment layer such as a SiNx or Si layer is thinly formed on the copper layer, thereby preventing an increase in resistance of the copper layer and also preventing blister formation caused by the damage of a copper oxide layer. Consequently, it is possible to improve the reliability of a copper line and thus enhance the reliability of a device.
    Type: Application
    Filed: December 10, 2008
    Publication date: July 23, 2009
    Inventors: Sung Ryul Kim, Yong-Mo Choi, Sung-Hoon Yang, Hwa-Yeul Oh, Kap-Soo Yoon, Jae-Ho Choi, Seong-Hun Kim
  • Patent number: 7560144
    Abstract: A method of forming a film having a low dielectric constant, comprises the steps of: placing a substrate between an upper electrode and a lower electrode inside a reaction chamber, introducing a silicon-containing hydrocarbon compound source gas, an additive gas, and an inert gas into a space between the upper and lower electrodes by controlling a gas flow ratio, generating a plasma by applying RF power to the space between the upper and lower electrodes in a state in which an interval between the upper electrode and the substrate is narrower in the vicinity of a center of the substrate than that in the vicinity of its periphery, and forming a film having a low dielectric constant on the substrate at a deposition rate of less than approx. 790 nm/min by controlling a flow rate of the process gas.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: July 14, 2009
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Kiyoto Itoh, Tsunayuki Kimura, Nobuo Matsuki
  • Publication number: 20090176035
    Abstract: The invention relates to a method for forming high sp3 content amorphous carbon coatings deposited by plasma enhanced chemical vapor deposition on internal surfaces and employing the “hollow-cathode” technique. This method allows adjustment of tribological properties, such as hardness, Young's modulus, wear resistance and coefficient of friction as well as optical properties, such as refractive index. In addition the resulting coatings are uniform and have high corrosion resistance. By controlling pressure, type of diamondoid precursor and bias voltage, the new method prevents the diamondoid precursor from fully breaking upon impact with the substrate. The diamondoid retains sp3 bonds which yields a high sp3 content film at higher pressure. This enables a faster deposition rate than would be possible without the use of a diamondoid precursor.
    Type: Application
    Filed: May 1, 2008
    Publication date: July 9, 2009
    Inventors: Andrew W. Tudhope, William J. Boardman, Steven F. Sciamanna, Thomas B. Casserly, Robert M. Carlson
  • Publication number: 20090155606
    Abstract: Cyclical methods of depositing a silicon nitride film on a substrate are provided. In one embodiment, a method includes supplying a chlorosilane to a reactor in which a substrate is processed; supplying a purge gas to the reactor; and providing ammonia plasma to the reactor. The method allows a silicon nitride film to be formed at a low process temperature and a high deposition rate. The resulting silicon nitride film has a relatively few impurities and a relatively high quality. In addition, a silicon nitride film having good step coverage over features having high aspect ratios and a thin and uniform thickness can be formed.
    Type: Application
    Filed: December 1, 2008
    Publication date: June 18, 2009
    Applicant: ASM GENITECH KOREA LTD.
    Inventors: Tae Ho Yoon, Hyung Sang Park, Hak Yong Kwon, Young Jae Kim
  • Publication number: 20090148627
    Abstract: What is disclosed includes OD-doped synthetic silica glass capable of being used in optical elements for use in lithography below about 300 nm. OD-doped synthetic silica glass was found to have significantly lower polarization-induced birefringence value than non-OD-doped silica glass with comparable concentration of OH. Also disclosed are processes for making OD-doped synthetic silica glasses, optical member comprising such glasses, and lithographic systems comprising such optical member. The glass is particularly suitable for immersion lithographic systems due to the exceptionally low polarization-induced birefringence values at about 193 nm.
    Type: Application
    Filed: February 13, 2009
    Publication date: June 11, 2009
    Inventors: Dana Craig Bookbinder, Richard Michael Fiacco, Ulrich Wilhelm Heinz Neukirch
  • Publication number: 20090104376
    Abstract: Embodiments of a gas distribution plate for distributing gas in a processing chamber are provided. In one embodiment, a gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. At least one of the gas passages has a right cylindrical shape for a portion of its length extending from the upstream side and a coaxial conical shape for the remainder length of the diffuser plate, the upstream end of the conical portion having substantially the same diameter as the right cylindrical portion and the downstream end of the conical portion having a larger diameter. The gas distribution plate is relatively easy to manufacture and provides good chamber cleaning rate, good thin film deposition uniformity and good thin film deposition rate.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 23, 2009
    Inventors: SOO YOUNG CHOI, John M. White, Robert I. Greene
  • Publication number: 20090099512
    Abstract: Syringes for holding fluids susceptible to void formation when the syringe and fluid are frozen and thawed before use. The interior surface of the syringe barrel is modified by exposure to a plasma such that the incidence of void formation in the fluid is prevented or, at the least, significantly reduced in comparison with conventional syringes.
    Type: Application
    Filed: October 15, 2008
    Publication date: April 16, 2009
    Applicant: Nordson Corporation
    Inventors: Henry DiGregorio, David Foote, James Getty
  • Patent number: 7514342
    Abstract: A method of forming a deposited film according to the present invention includes: introducing a starting gas into a discharge space in a reaction vessel; and applying electric power to generate discharge to decompose the starting gas, wherein, when a self-bias voltage value which is generated at an electrode applied with first electric power reaches a preset threshold, second electric power higher than the first electric power is applied to the electrode to change the self-bias voltage value to another self-bias voltage value larger in absolute value than the threshold, and the deposited film is formed.
    Type: Grant
    Filed: May 20, 2005
    Date of Patent: April 7, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventor: Atsushi Yasuno
  • Publication number: 20090081384
    Abstract: A polysiloxane-based material presents a predetermined structure or conformation such that the polysiloxane-based material comprises a ratio between a number of linear —Si—O— bonds and a number of cyclic —Si—O— bonds less than or equal to 0.4, and preferably less than or equal to 0.3. Such a polysiloxane-based material enables a wetting hysteresis less than 10°, and preferably less than 5° to be obtained. Such a low wetting hysteresis material can be achieved by chemical vapor deposition enhanced by a plasma wherein a precursor is injected. The precursor is selected from the group consisting of cyclic organosiloxanes such as octamethylcyclotetrasiloxane and derivatives thereof and cyclic organosilazanes such as octamethylcyclosilazane and derivatives thereof. A ratio between a power density dissipated in the plasma and a precursor flow rate injected in the plasma is less than or equal to 100 W.cm?2/mol.min?1.
    Type: Application
    Filed: June 27, 2006
    Publication date: March 26, 2009
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Marc Plissonnier, Mathias Borella, Frederic Gaillard, Pascal Faucherand
  • Publication number: 20090075361
    Abstract: A microfluidic device having a substrate with an array of curvilinear cavities. The substrate of the microfluidic device is preferably fabricated of a polymer such as polydimethylsiloxane (PDMS). The microfluidic device is manufactured using a gas expansion molding (GEM) technique.
    Type: Application
    Filed: June 16, 2008
    Publication date: March 19, 2009
    Applicant: University of Rochester
    Inventors: Lisa DeLouise, Ut-Binh Thi Giang, Michael King
  • Publication number: 20090065056
    Abstract: A “hybrid” photovoltaically active layer is homogenous (in a direction parallel to the major surfaces of the layer) with respect to film constituents, but is non-homogenous with respect to photovoltaic properties. First regions exhibit high absorptivity, while second regions that are perpendicular to the major surfaces of the layer exhibit a higher carrier mobility. The method for forming the layer includes one or all of chemical vapor deposition, the hollow cathode effect, and high power DC pulsing.
    Type: Application
    Filed: September 12, 2008
    Publication date: March 12, 2009
    Applicant: Sub-One Technology
    Inventors: Deepak Upadhayaya, William J. Boardman, Charles Dornfest
  • Publication number: 20090068529
    Abstract: This material suitable for constituting an electrolyte for a fuel cell has a hydrophobic matrix comprising carbon, fluorine, oxygen and hydrogen, and silicon.
    Type: Application
    Filed: October 12, 2007
    Publication date: March 12, 2009
    Applicant: Commissariat A L'Energie Atomique
    Inventors: Steve Martin, Marc Plissonnier, Pascal Faucherand, Lucie Jodin
  • Publication number: 20090053428
    Abstract: A method and apparatus for the unusually high rate deposition of thin film materials on a stationary or continuous substrate. The method includes the in situ generation of a neutral-enriched deposition medium that is conducive to the formation of thin film materials having a low intrinsic defect concentration at any speed. In one embodiment, the deposition medium is created by forming a plasma from an energy transferring gas; combining the plasma with a precursor gas to form a set of activated species that include ions, ion-radicals, and neutrals; and selectively excluding the species that promote the formation of defects to form the deposition medium. In another embodiment, the deposition medium is created by mixing an energy transferring gas and a precursor gas, forming a plasma from the mixture to form a set of activated species, and selectively excluding the species that promote the formation of defects.
    Type: Application
    Filed: August 27, 2008
    Publication date: February 26, 2009
    Inventor: Stanford R. Ovshinsky
  • Publication number: 20090022907
    Abstract: A barrier coating of organic-inorganic composition, the barrier coating having optical properties that are substantially uniform along an axis of light transmission, said axis oriented substantially perpendicular to the surface of the coating.
    Type: Application
    Filed: May 21, 2008
    Publication date: January 22, 2009
    Applicant: General Electric Company
    Inventors: Tae Won KIM, Christian Maria Anton Heller, Marc Schaepkens, Ahmet Gun Erlat, Min Yan
  • Publication number: 20090017292
    Abstract: Sub-atmospheric pressure chemical vapor deposition is described with a directed reactant flow and a substrate that moves relative to the flow. Thus, using this CVD configuration a relatively high deposition rate can be achieved while obtaining desired levels of coating uniformity. Deposition approaches are described to place one or more inorganic layers onto a release layer, such as a porous, particulate release layer. In some embodiments, the release layer is formed from a dispersion of submicron particles that are coated onto a substrate. The processes described can be effective for the formation of silicon films that can be separated with the use of a release layer into a silicon foil. The silicon foils can be used for the formation of a range of semiconductor based devices, such as display circuits or solar cells.
    Type: Application
    Filed: June 12, 2008
    Publication date: January 15, 2009
    Inventors: Henry Hieslmair, Ronald J. Mosso, Narayan Solayappan, Shivkumar Chiruvolu, Julio E. Morris
  • Publication number: 20090017231
    Abstract: A method for depositing a low dielectric constant film on a substrate is provided. The low dielectric constant film is deposited by a process comprising reacting one or more organosilicon compounds and a porogen and then post-treating the film to create pores in the film. The one or more organosilicon compounds include compounds that have the general structure Si—CX—Si or —Si—O—(CH2)n—O—Si—. Low dielectric constant films provided herein include films that include Si—CX—Si bonds both before and after the post-treatment of the films. The low dielectric constant films have good mechanical and adhesion properties, and a desirable dielectric constant.
    Type: Application
    Filed: July 31, 2008
    Publication date: January 15, 2009
    Inventors: Kang Sub Yim, Alexandros T. Demos
  • Publication number: 20090011149
    Abstract: A method of forming a low-K dielectric film, comprises the steps of placing a substrate carrying thereon a low-K dielectric film on a stage, heating the low-K dielectric film on the stage, processing the low-K dielectric film by plasma of a processing gas containing a hydrogen gas, the plasma being excited while supplying the processing gas over the low-K dielectric film, wherein the plasma is excited within 90 seconds after placing the substrate upon the stage.
    Type: Application
    Filed: September 10, 2008
    Publication date: January 8, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yusaku KASHIWAGI, Yasuhiro OSHIMA, Yoshihisa KAGAWA, Gishi CHUNG
  • Patent number: 7473443
    Abstract: There are provided a silicon-film-forming composition containing silicon particles and a dispersion medium and a method for forming a silicon film by forming a coating film of the silicon-film-forming composition on a substrate and subjecting the coating film to instantaneous fusion, a heat treatment or a light treatment. According to the composition and the method, a polysilicon film with a desired thickness which may be used as a silicon film for a solar battery can be formed efficiently and easily.
    Type: Grant
    Filed: August 15, 2003
    Date of Patent: January 6, 2009
    Assignee: JSR Corporation
    Inventors: Yasuo Matsuki, Haruo Iwasawa, Hitoshi Kato
  • Publication number: 20090004363
    Abstract: A substrate processing system includes a deposition chamber and a plurality of tubular electrodes positioned within the deposition chamber defining plasma regions adjacent thereto.
    Type: Application
    Filed: September 8, 2008
    Publication date: January 1, 2009
    Inventors: Marvin S. Keshner, Paul H. McCleland
  • Patent number: 7465478
    Abstract: A method of processing a workpiece includes placing the workpiece on a workpiece support pedestal in a main chamber with a gas distribution showerhead, introducing a process gas into a remote plasma source chamber and generating a plasma in the remote plasma source chamber, transporting plasma-generated species from the remote plasma source chamber to the gas distribution showerhead so as to distribute the plasma-generated species into the main chamber through the gas distribution showerhead, and applying plasma RF power into the main chamber.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: December 16, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Andrew Nguyen, Amir Al-Bayati, Biagio Gallo
  • Patent number: 7462569
    Abstract: A method of manufacturing a semiconductor device bakes a first semiconductor substrate on which a sacrifice film is formed in a reaction chamber to preliminarily coat an inner wall of the reaction chamber with a component of a gas generated by the sacrifice film, and bakes a second semiconductor substrate on which a predetermined film including the same component as that of the sacrifice film is formed in the preliminarily coated reaction chamber, while irradiating electron beams on the predetermined film to change quality of the predetermined film.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: December 9, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Keiji Fujita, Hideshi Miyajima
  • Patent number: 7455892
    Abstract: A method for forming a coating on a substrate using an atmospheric pressure plasma discharge. The method comprises introducing an atomized liquid and/or solid coating-forming material into an atmospheric pressure plasma discharge and/or an ionized gas stream resulting therefrom, and exposing the substrate to the atomized coating-forming material. The application also described a method for polymerizing a polymer forming material, and further to apparatus for forming a coating on a substrate.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: November 25, 2008
    Assignee: Dow Corning Ireland Limited
    Inventors: Andrew James Goodwin, Luke Ward, Patrick Merlin, Jas Pal Singh Badyal
  • Publication number: 20080268174
    Abstract: The present invention relates to an apparatus and related method for manufacturing an optical preform. The present invention embraces a novel insert tube that is strategically positioned within a quartz substrate tube during the internal vapor deposition process.
    Type: Application
    Filed: April 22, 2008
    Publication date: October 30, 2008
    Applicant: Draka Comteq B.V.
    Inventors: Marco Korsten, Marc Jansen, Eric Aloysius Kuijpers
  • Publication number: 20080261112
    Abstract: An electrode material of the present invention includes a plurality of particles capable of absorbing and desorbing lithium, and a plurality of nanowires capable of absorbing and desorbing lithium. The particles and the nanowires include silicon atoms. The plurality of nanowires are entangled with each other to form a network, and the network is in contact with at least two of the plurality of particles.
    Type: Application
    Filed: April 17, 2008
    Publication date: October 23, 2008
    Inventors: Kaoru Nagata, Takashi Otsuka
  • Patent number: 7435454
    Abstract: A method for depositing a film on a substrate using a plasma enhanced atomic layer deposition (PEALD) process includes disposing the substrate in a process chamber configured to facilitate the PEALD process, introducing a first process material within the process chamber and introducing a second process material within the process chamber. Electromagnetic power is coupled to the process chamber during introduction of the second process material in order to generate a plasma that facilitates a reduction reaction between the first and second process materials at a surface of the substrate, electromagnetic power is coupled to a gas injection electrode to generate a plasma that ionizes contaminants such that the ionized contaminants are attracted to a plurality of orifices in the gas injection electrode. The process chamber is vacuum pumped through the plurality of orifices to expel the ionized contaminants from the process chamber.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: October 14, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Publication number: 20080241349
    Abstract: Processes are provided for preparing a substrate coated with a biomolecule, comprising: a) providing a substrate; b) coating the substrate with a polysiloxane, typically by exposing the substrate to a reactive gas containing siloxane functional groups and to plasma energy to yield a plasma-deposited polysiloxane surface on the substrate; c) rendering the polysiloxane surface amino functional; and d) contacting the amino-functional polysiloxane surface with a biomolecule under conditions effective to attach the biomolecule to the substrate. The coated substrate may be a medical device that demonstrates an ability to maintain gas permeability when in contact with blood or blood components, compared to a substantially identical medical device that has not been coated with a biomolecule using this process.
    Type: Application
    Filed: April 2, 2008
    Publication date: October 2, 2008
    Applicant: Ension, Inc.
    Inventors: Patrick Cahalan, Linda Cahalan, Greg Johnson, Mark Gartner
  • Publication number: 20080241523
    Abstract: The invention relates to a substrate of which at least one part of the surface thereof has been rendered hydrophobic and, for said purpose, has a hydrophobic surface structure consisting of an essentially-mineral silicon-containing sub-layer and an outer layer comprising a hydrophobic agent which is grafted onto said sub-layer. The invention is characterised in that the outer hydrophobic agent layer is applied to the sub-layer while the surface of the latter is in an activated state before being brought into contact with said hydrophobic agent. The invention also relates to rain-repellent glass comprising one such substrate, which is particularly suitable for use in the automobile, aviation, construction, electric household appliance and ophthalmic lens industries.
    Type: Application
    Filed: February 23, 2005
    Publication date: October 2, 2008
    Applicant: SAINT-GOBAIN GLASS FRANCE
    Inventors: Arnaud Huignard, Maxime Duran