Making Electrical Device Patents (Class 430/311)
  • Patent number: 11306243
    Abstract: A light-emitting composition including: semiconductor fine particles as component (1); and a compound represented by Formula (X) or a modified product thereof as component (2).
    Type: Grant
    Filed: June 22, 2018
    Date of Patent: April 19, 2022
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Shota Naito, Yoshiaki Sakatani
  • Patent number: 11302512
    Abstract: An electron beam apparatus includes an electron optics system to generate an electron beam, an object table to hold the specimen at a target position so that a target portion of the specimen is irradiated by the electron beam, and a positioning device to displace the object table relative to the electron beam. The positioning device includes a stage actuator and a balance mass. The stage actuator exerts a force onto the object table to cause an acceleration of the object table. The force onto the object table results in a reaction force onto the balance mass. The balance mass moves in response to the reaction force. The positioning device enables the balance mass to move in a first direction in response to a component of the reaction force in the first direction.
    Type: Grant
    Filed: March 4, 2020
    Date of Patent: April 12, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Marcel Koenraad Marie Baggen, Antonius Henricus Arends, Lucas Kuindersma, Johannes Hubertus Antonius Van De Rijdt, Peter Paul Hempenius, Robertus Jacobus Theodorus Van Kempen, Niels Johannes Maria Bosch, Henricus Martinus Johannes Van De Groes, Kuo-Feng Tseng, Hans Butler, Michael Johannes Christiaan Ronde
  • Patent number: 11300881
    Abstract: A photolithography patterning stack and method for repairing defects in the stack. The stack includes an organic planarization layer, a hardmask layer, and a plurality of patterned photoresist lines in contact with the hardmask layer. A plurality of trenches is situated between the plurality of patterned photoresist lines. Each trench exposes a portion of the hardmask layer. A repairing layer is formed in contact with and only bonded to surfaces of the plurality of patterned photoresist lines. The method includes forming a photolithographic patterning stack. The stack includes at least a hardmask layer formed on one or more underlayers and a photoresist layer formed in contact with the hardmask layer. The photoresist layer is patterned into a plurality of patterned portions. A repairing layer is formed in contact with and only bonded to surfaces of each patterned portion of the plurality of portions.
    Type: Grant
    Filed: October 23, 2018
    Date of Patent: April 12, 2022
    Assignee: International Business Machines Corporation
    Inventors: Luciana Meli Thompson, Jing Guo, Nelson Felix, Ekmini Anuja De Silva
  • Patent number: 11294273
    Abstract: A method for forming a mask substrate is provided. The method includes providing a first base and providing a mask layer on the first base. The method also includes patterning the mask layer to form a pattern, wherein the first base and the pattern form a patterned substrate and providing a first substrate. The method further includes providing an optical layer on the first substrate or on the patterned substrate and assembling the first substrate and the patterned substrate to form the mask substrate.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: April 5, 2022
    Assignee: INNOLUX CORPORATION
    Inventors: Chien-Hsing Lee, Chin-Lung Ting, Jung-Chuan Wang, Hong-Sheng Hsieh
  • Patent number: 11274250
    Abstract: The present invention provides a chemical solution, which has an excellent dissolving ability for a transition metal-containing substance and can realize excellent smoothness of a portion to be treated, and a treatment method using the chemical solution. The chemical solution according to an embodiment of the present invention is a chemical solution used for removing a transition metal-containing substance on a substrate and includes periodic acids and a compound including one or more kinds of anions selected from the group consisting of IO3?, I?, and I3?, in which a content of the compound including anions with respect to a total mass of the chemical solution is 5 ppb by mass to 1% by mass.
    Type: Grant
    Filed: July 9, 2020
    Date of Patent: March 15, 2022
    Assignee: FUJIFILM Corporation
    Inventors: Tomonori Takahashi, Nobuaki Sugimura, Hiroyuki Seki
  • Patent number: 11257679
    Abstract: One or more embodiments are directed to methods of removing a sacrificial layer from semiconductor wafers during wafer processing. In at least one embodiment, the sacrificial layer is removed from a wafer during an O2 plasma etch step. In one embodiment, the sacrificial layer is poly(p-phenylene-2, 6-benzobisoxazole) (PBO) or polyimide. The O2 plasma etch step causes a residue to form on the wafer. The residue is removed by immersing the wafer a solution that is a mixture of the tetramethylammonium hydroxide (TMAH) and water.
    Type: Grant
    Filed: November 21, 2019
    Date of Patent: February 22, 2022
    Assignee: STMICROELECTRONICS PTE LTD
    Inventor: Tien Choy Loh
  • Patent number: 11256179
    Abstract: A lithography system includes a load lock chamber comprising an opening configured to receive a mask, an exposure module configured to expose a semiconductor wafer to a light source through use of the mask, and a cleaning module embedded inside the lithography tool, the cleaning module being configured to clean carbon particles from the mask.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: February 22, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shu-Hao Chang, Norman Chen, Jeng-Horng Chen, Kuo-Chang Kau, Ming-Chin Chien, Shang-Chieh Chien, Anthony Yen, Kevin Huang
  • Patent number: 11237488
    Abstract: This disclosure provides a substrate carrying device and a photoresist coating development device, and belongs to the field of display technologies. The substrate carrying device includes two carrying mechanisms opposite to each other, and a driving mechanism between the two carrying mechanisms. Each of carrying mechanisms includes a guiding assembly and a plurality of supports. The guiding assembly includes a guide and a moving member in cooperation with each other. The guide is configured to guide the moving member to move along a preset trajectory. The supports are secured to the moving member and are configured to carry the substrate together. The driving mechanism is capable of simultaneously driving movement of the moving members of the two carrying mechanisms.
    Type: Grant
    Filed: July 14, 2020
    Date of Patent: February 1, 2022
    Assignees: MIANYANG BOE OPTOELECTRONICS TECHNOLOGY CO., Ltd., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Guofeng Jia, Cui Zhang
  • Patent number: 11227969
    Abstract: A marking method for applying a unique identification to each individual solar cell stack of a semiconductor wafer, at least comprising the steps: Providing a semiconductor wafer having an upper side and an underside, which comprises a Ge substrate forming the underside; and generating an identification with a unique topography by means of laser ablation, using a first laser, on a surface area of the underside of each solar cell stack of the semiconductor wafer, the surface area being formed in each case by the Ge substrate or by an insulating layer covering the Ge substrate.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: January 18, 2022
    Assignee: AZUR SPACE Solar Power GmbH
    Inventors: Wolfgang Koestler, Steffen Sommer, Alexander Frey
  • Patent number: 11226568
    Abstract: A lithographic apparatus comprising a substrate storage module having a controllable environment for protecting lithographically exposed substrates from ambient air. The substrate storage module is configured to store at least twenty substrates and the substrate storage module is an integral part of the lithographic apparatus. The substrate storage module may be used to protect substrates from ambient air during stitched lithographic exposures.
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: January 18, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Paul Van Dongen, Aart Adrianus Van Beuzekom
  • Patent number: 11221490
    Abstract: A multilayer grating is a diffraction grating that includes a plurality of layers. The plurality of layers arranged to form a 2-dimensional grating, the layers including at least a first patterned layer and a second patterned layer. The first patterned layer includes a plurality of different materials that are arranged in a first pattern such that the first patterned layer has a first index profile. The second patterned layer includes a plurality of different materials that are arranged in a second pattern such that the second patterned layer has a second index profile that is inverted relative to the first index profile. Ambient light incident on the first patterned layer and the second patterned layer creates a first diffracted ray and a second diffracted ray, respectively, and the first diffracted ray and the second diffracted ray destructively interfere with each other based in part on the inverted index profile.
    Type: Grant
    Filed: January 5, 2021
    Date of Patent: January 11, 2022
    Assignee: Facebook Technologies, LLC
    Inventors: Erik Shipton, Giuseppe Calafiore, Pasi Saarikko
  • Patent number: 11214651
    Abstract: With respect to an addition-curable thermally conductive silicone composition in which a silver filler is blended, a catalyst having a specific structure and an organohydrogen polysiloxane having a specific structure are used for the purpose of extending the working life at room temperature, while maintaining the flexibility, so that a thermally conductive silicone composition which is able to have a good balance between flexibility after curing and storage stability in one pack, while having extremely low thermal resistance and excellent reliability is achieved.
    Type: Grant
    Filed: June 12, 2017
    Date of Patent: January 4, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Kenichi Tsuji, Mitsuhiro Iwata, Shota Akiba
  • Patent number: 11214678
    Abstract: A hardmask composition, a hardmask layer, and a method of forming patterns, the composition including a solvent; and a polymer that includes a substituted biphenylene structural unit, wherein one phenylene of the biphenylene of the substituted biphenylene structural unit is substituted with at least one of a hydroxy-substituted C6 to C30 aryl group, and a hydroxy-substituted C3 to C30 heteroaryl group.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: January 4, 2022
    Assignee: SAMSUNG SDI CO., LTD.
    Inventors: Jaebum Lim, Sunyoung Yang, Sunghwan Kim, Seunghyun Kim, Yushin Park
  • Patent number: 11189561
    Abstract: Embodiments of the present invention are directed to fabrication method and resulting structures for placing self-aligned top vias at line ends of an interconnect structure. In a non-limiting embodiment of the invention, a line feature is formed in a metallization layer of an interconnect structure. The line feature can include a line hard mask. A trench is formed in the line feature to expose line ends of the line feature. The trench is filled with a host material and a growth inhibitor is formed over a first line end of the line feature. A via mask is formed over a second line end of the line feature. The via mask can be selectively grown on an exposed surface of the host material. Portions of the line feature that are not covered by the via mask are recessed to define a self-aligned top via at the second line end.
    Type: Grant
    Filed: September 18, 2019
    Date of Patent: November 30, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ashim Dutta, Ekmini Anuja De Silva, Dominik Metzler, John Arnold
  • Patent number: 11177260
    Abstract: A semiconductor device includes a first fin structure disposed on a substrate. The first fin structure extends in a first direction. A first sacrificial layer pattern is disposed on the first fin structure. The first sacrificial layer pattern includes a left portion and a right portion arranged in the first direction. A dielectric layer pattern is disposed on the first fin structure and interposed between the left and right portions of the first sacrificial layer pattern. A first active layer pattern extending in the first direction is disposed on the first sacrificial layer pattern and the dielectric layer pattern. A first gate electrode structure is disposed on a portion of the first active layer pattern. The portion of the first active layer is disposed on the dielectric layer pattern. The first gate electrode structure extends in a second direction crossing the first direction.
    Type: Grant
    Filed: February 28, 2020
    Date of Patent: November 16, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dong-Il Bae, Kang-Ill Seo
  • Patent number: 11167469
    Abstract: According to one embodiment, a template includes a base body, and a first film. The base body has a first surface and a second surface. The first surface includes silicon oxide and spreads along a first plane. The second surface crosses the first plane. The first film includes aluminum oxide. A direction from the second surface toward the first film is aligned with a direction perpendicular to the second surface. A thickness of the first film along the direction perpendicular to the second surface is not less than 0.3 nm and not more than 10 ?m. The first surface includes an unevenness.
    Type: Grant
    Filed: March 8, 2018
    Date of Patent: November 9, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Koji Asakawa, Shinobu Sugimura
  • Patent number: 11119407
    Abstract: A method of cutting a flexible substrate includes providing a flexible substrate pre-configured with a cutting area, providing an obstruction covering a non-cutting area of the flexible substrate to expose the cutting area of the flexible substrate, and performing a dry etching to the flexible substrate to decompose the cutting area of the flexible substrate, such that internal components of the flexible substrate can be protected during a flexible substrate cutting process.
    Type: Grant
    Filed: December 25, 2018
    Date of Patent: September 14, 2021
    Inventor: Kaixiang Zhao
  • Patent number: 11086214
    Abstract: A mask plate is provided according to the present disclosure. The mask plate includes: a fully-transparent region; a fully-opaque region; and a partially-transparent region at a boundary between the fully-transparent region and the fully-opaque region.
    Type: Grant
    Filed: September 11, 2017
    Date of Patent: August 10, 2021
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Siquan Wu, Xinjie Zhang, Hao Chen
  • Patent number: 11079681
    Abstract: A lithography method includes forming a resist layer over a substrate. The resist layer is exposed to radiation. The exposed resist layer is developed using a developer that removes an exposed portion of the exposed resist layer, thereby forming a patterned resist layer. The patterned resist layer is rinsed using a basic aqueous rinse solution.
    Type: Grant
    Filed: January 15, 2019
    Date of Patent: August 3, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ming-Hui Weng, Chen-Yu Liu, Cheng-Han Wu, Ching-Yu Chang, Chin-Hsiang Lin
  • Patent number: 11069978
    Abstract: A method for manufacturing a package with a conformal shield antenna includes forming a mold compound layer, attaching the mold compound layer to a printed circuit board, applying a conformal shield layer on a first surface of the mold compound layer, the mold compound layer disposed between the conformal shield layer and the printed circuit board module, and shaping the conformal shield layer to define a planar antenna structure. Optionally, the method includes forming a cavity in the mold compound layer, applying a cover layer over the cavity to enclose the cavity and hardening the cover layer.
    Type: Grant
    Filed: April 2, 2018
    Date of Patent: July 20, 2021
    Assignee: Skyworks Solutions, Inc.
    Inventors: Dinhphuoc Vu Hoang, Robert Francis Darveaux, Anthony James LoBianco, Lori Ann DeOrio, Hoang Mong Nguyen, Ki Wook Lee, Hardik Bhupendra Modi, Foad Arfaei Malekzadeh, Stephen Joseph Kovacic, René Rodriguez
  • Patent number: 11043459
    Abstract: Techniques are described for fabricating integrated circuit devices that span multiple reticle fields. Integrated circuits formed within separate reticle fields are placed into electrical contact with each other by overlapping reticle fields to form an overlapping conductive interconnect. This overlapping conductive interconnect electrically connects an interconnect layer of a first reticle field with an interconnect layer of a second, laterally adjacent reticle field. The overlapping conductive interconnection extends into a common scribe zone between adjacent reticle fields.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: June 22, 2021
    Assignee: Intel Corporation
    Inventors: Edward A. Burton, Mark T. Bohr, Murray Fitzpatrick Kelley, Shawn Michael Klauser
  • Patent number: 11029597
    Abstract: Provided are a method for producing a pattern laminate, the pattern laminate having a first layer having a pattern on an object to be processed and a second layer, which has a small waviness after etching (?LWR), in which the method includes a step of forming a first layer having a pattern on an object to be processed and a step of forming a second layer on the first layer, and the glass transition temperature of the first layer is 90° C. or higher; a method for producing a reversal pattern; and a pattern laminate.
    Type: Grant
    Filed: August 24, 2018
    Date of Patent: June 8, 2021
    Assignee: FUJIFILM Corporation
    Inventors: Yuichiro Goto, Kazuhiro Marumo
  • Patent number: 11024344
    Abstract: A conductive landing pad structure is formed utilizing a selective deposition process on a surface of an electrically conductive structure that is embedded in a first dielectric material layer. The conductive landing pad structure is located on an entirety of a surface of the electrically conductive structure and does not extend onto the first dielectric material layer. A conductive metal-containing structure is formed on a physically exposed surface of the conductive landing pad structure. During the formation of the conductive metal-containing structure which includes ion beam etching and/or a wet chemical etch, no conductive landing pad material particles re-deposit on the sidewalls of the conductive metal-containing structure.
    Type: Grant
    Filed: October 9, 2018
    Date of Patent: June 1, 2021
    Assignee: International Business Machines Corporation
    Inventor: Chih-Chao Yang
  • Patent number: 10994491
    Abstract: A three-dimensional lattice architecture with a thickness hierarchy includes a first surface and a second surface separated from each other with a distance therebetween defining a thickness of the three-dimensional lattice architecture; a plurality of angled struts extending along a plurality of directions between the first surface and the second surface; a plurality of nodes connecting the plurality of angled struts with one another forming a plurality of unit cells. At least a portion of the plurality of angled struts are internally terminated along the thickness direction of the lattice structure and providing a plurality of internal degrees of freedom towards the first or second surface of the lattice architecture.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: May 4, 2021
    Assignee: HRL Laboratories, LLC
    Inventors: Jacob M. Hundley, Tobias A. Schaedler, Sophia S. Yang, Alan J. Jacobsen
  • Patent number: 10998130
    Abstract: In a coil component and a method for manufacturing the same, a winding part of a coil is grown by plating so as to extend between resin walls of a resin body provided before the coil is grown by plating. The resin wall is interposed between adjacent turns of the winding part of the coil during the plating growth, and therefore contact between adjacent turns of the winding part of the coil cannot occur.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: May 4, 2021
    Assignee: TDK CORPORATION
    Inventors: Hitoshi Ohkubo, Masazumi Arata, Manabu Ohta, Shou Kawadahara, Yoshihiro Maeda, Takahiro Kawahara, Hokuto Eda, Shigeki Sato
  • Patent number: 10983428
    Abstract: A mask includes a substrate, a main pattern, a first assist pattern, and a second assist pattern. The main pattern is disposed on the substrate. The main pattern includes a first pattern and second patterns. Two of the second patterns are disposed at two opposite sides of the first pattern in a first direction. The first assist pattern is disposed on the substrate and disposed in the main pattern. The second assist pattern is disposed on the substrate and disposed outside the main pattern. The first assist pattern disposed in the main pattern may be used to improve the pattern transferring performance in a photolithography process using the mask.
    Type: Grant
    Filed: May 14, 2018
    Date of Patent: April 20, 2021
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chia-Chen Sun, Yu-Cheng Tung, Sheng-Yuan Hsueh
  • Patent number: 10968321
    Abstract: The invention relates a polyacrylate-polysilane block copolymer of general structure (I): wherein m and n independent of one another, are integers ranging from 2 to 4000; p is an integer ranging from 0 to 5; q is an integer ranging from 1 to 5; R1 represents hydrogen, straight-chain or branched alkyl group having 1 to 4 carbon atoms; R2 represents hydrogen, straight-chain or branched alkyl group having 1 to 18 carbon atoms; R3 represents hydrogen, hydroxyl group, straight-chain or branched alkyl group having 1 to 4 carbon atoms, or an C6-C14 aryl group; L is a linking moiety representing amine (—NH—) group, amide (—C(O)NH—) group, urea (—NHC(O)NH—) group, urethane (—OC(O)NH—) group or methylene (—CH2—) group; R4, R5 and R6 independent of one another, represents hydrogen, straight-chain or branched, alkyl group having 1 to 8 carbon atoms or polydimethylsiloxane group; and R7 represents hydrogen or methyl group.
    Type: Grant
    Filed: July 17, 2017
    Date of Patent: April 6, 2021
    Assignee: Clariant Plastics & Coatings Ltd
    Inventor: Someshwarnath Dinanath Pandey
  • Patent number: 10969677
    Abstract: The present application relates to a film mask including: a transparent substrate; a darkened light-shielding pattern layer provided on the transparent substrate; and a release force enhancement layer provided on the darkened light-shielding pattern layer and having surface energy of 30 dynes/cm or less, a method for manufacturing the same, and a method for forming a pattern using the film mask.
    Type: Grant
    Filed: January 31, 2017
    Date of Patent: April 6, 2021
    Assignee: LG CHEM, LTD.
    Inventors: Ji Young Hwang, Han Min Seo, Nam Seok Bae, Seung Heon Lee, Dong Hyun Oh, Chan Hyoung Park, Ki-Hwan Kim, Ilha Lee
  • Patent number: 10926261
    Abstract: Large bioreactors based on microfluidic technology, and methods of manufacturing the same, are provided, The big microbioreactor can include a chip or substrate having the microfluidic channels thereon, and the chip can be manufactured by forming a master mold, forming a male mold from a photopolymer plate using replica molding with the Fmold, and transferring features of the male to a polymer material.
    Type: Grant
    Filed: September 17, 2019
    Date of Patent: February 23, 2021
    Assignee: The Florida International University Board of Trustees
    Inventors: Shekhar Bhansali, Maximiliano S. Perez, Betiana Lerner, Natalia Bourguignon
  • Patent number: 10910309
    Abstract: In various embodiments a method for manufacturing a metallization layer on a substrate is provided, wherein the method may include providing a structured layer of a catalyst material on the substrate, the catalyst material may include a first layer of material arranged over the substrate and a second layer of material arranged over the first layer of material, wherein the structured layer of catalyst material having a first set of regions including the catalyst material over the substrate and a second set of regions free of the catalyst material over the substrate, and forming a plurality of groups of nanotubes over the substrate, each group of the plurality of groups of nanotubes includes a plurality of nanotubes formed over a respective region in the first set of regions.
    Type: Grant
    Filed: September 1, 2017
    Date of Patent: February 2, 2021
    Assignee: Infineon Technologies AG
    Inventors: Ravi Joshi, Juergen Steinbrenner
  • Patent number: 10896805
    Abstract: A method for electron beam lithography. The method may comprise fabricating a multi-layer mask and interposing the multi-layer mask between an electron beam and an energy-sensitive layer to thereby expose the energy-sensitive layer to the electron beam through the mask. Fabricating the multi-layer mask may comprises providing a first mask layer fabricated from a first mask material (e.g. silicon nitride) which defines one or more feature apertures corresponding to features of interest and coating an electron-energy-reducing material (e.g. gold) onto the first mask layer to thereby provide a second mask layer.
    Type: Grant
    Filed: April 3, 2019
    Date of Patent: January 19, 2021
    Inventor: Gary William Leach
  • Patent number: 10865262
    Abstract: An overlay film-forming composition used to cause phase separation to a block copolymer-containing layer formed on a substrate, the composition including: (A) a copolymer that includes (a) a unit structure derived from maleimide structure and a unit structure derived from styrene structure; and (B) an ether compound having 8-16 carbon atoms as a solvent. The overlay film-forming composition exhibits good solubility with respect to a hydrophobic solvent, and is able to induce vertical alignment of a block copolymer without causing dissolution, swelling, and the like of the block copolymer-containing layer formed on the substrate.
    Type: Grant
    Filed: September 8, 2017
    Date of Patent: December 15, 2020
    Assignee: NISSAN CHEMICAL CORPORATION
    Inventors: Ryuta Mizuochi, Yasunobu Someya, Hiroyuki Wakayama, Rikimaru Sakamoto
  • Patent number: 10836896
    Abstract: The present invention provide a composition comprising: a polyacrylate-polysilane block copolymer of structure (I) and an organic polymer which is different from the block copolymer of formula (I) wherein m and n independent of one another, are integers ranging from 2 to 4000; p is an integer ranging from 0 to 5; q is an integer ranging from 1 to 5; R1 represents hydrogen, straight-chain or branched alkyl group having 1 to 4 carbon atoms; R2 represents hydrogen, straight-chain or branched alkyl group having 1 to 18 carbon atoms; R3 represents hydrogen, hydroxyl group, straight-chain or branched alkyl group having 1 to 4 carbon atoms, or an C6-C14-aryl group; L is a single bond or a bivalent group —NH—, —C(O)NH—, —NHC(O)NH—, —OC(O)NH— or —CH2—; R4, R5 and R6 independent of one another, represent hydrogen, straight-chain or branched alkyl group having 1 to 8 carbon atoms or a polydimethylsiloxane residue; and R7 represents hydrogen or methyl group.
    Type: Grant
    Filed: July 17, 2017
    Date of Patent: November 17, 2020
    Assignee: Clariant Plastics & Coatings Ltd
    Inventors: Someshwarnath Dinanath Pandey, Achintya Kumar Sen, Vinesh Ramesh Adep
  • Patent number: 10831104
    Abstract: A photoresist film is patterned into an array of island shapes with improved critical dimension uniformity and no phase edges by using two alternating phase shifting masks (AltPSMs) and one post expose bake (PEB). The photoresist layer is exposed with a first AltPSM having a line/space (L/S) pattern where light through alternating clear regions on each side of an opaque line is 180° phase shifted. Thereafter, there is a second exposure with a second AltPSM having a L/S pattern where opaque lines are aligned orthogonal to the lengthwise dimension of opaque lines in the first exposure, and with alternating 0° and 180° clear regions. Then, a PEB and subsequent development process are used to form an array of island shapes. The double exposure method enables smaller island shapes than conventional photolithography and uses relatively simple AltPSM designs that are easier to implement in production than other optical enhancement techniques.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: November 10, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jesmin Haq, Tom Zhong, Zhongjian Teng
  • Patent number: 10809616
    Abstract: New photoacid generator compounds (“PAGs”) are provided that comprise a cholate moiety and photoresist compositions that comprise such PAG compounds.
    Type: Grant
    Filed: June 5, 2017
    Date of Patent: October 20, 2020
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Emad Aqad, Mingqi Li, Joseph Mattia, Cheng-Bai Xu
  • Patent number: 10793712
    Abstract: Provided are a heat-curable resin composition for semiconductor encapsulation that is capable of yielding a cured product superior in tracking resistance and dielectric property, and has a favorable continuous moldability; and a semiconductor device encapsulated by a cured product of such resin composition. The heat-curable resin composition for semiconductor encapsulation contains: (A) an epoxy resin other than a silicone-modified epoxy resin, being solid at 25° C.; (B) a silicone-modified epoxy resin; (C) a cyclic imide compound having, in one molecule, at least one dimer acid backbone, at least one linear alkylene group having not less than 6 carbon atoms, at least one alkyl group having not less than 6 carbon atoms, and at least two cyclic imide groups; (D) an organic filler; and (E) an anionic curing accelerator.
    Type: Grant
    Filed: July 3, 2019
    Date of Patent: October 6, 2020
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yoshihiro Tsutsumi, Naoyuki Kushihara, Norifumi Kawamura, Yuki Kudo
  • Patent number: 10788754
    Abstract: Provided are a positive tone pattern forming method in which development is carried out using a developer containing an organic solvent with use of a composition containing (A) a resin which has a repeating unit containing a moiety capable of forming a polar interaction and whose polarity is decreased due to release of the polar interaction by the action of an acid or a base, or a composition containing (A?) a resin having a repeating unit containing a polar group and (B) a compound capable of forming a polar interaction with the polar group of the resin (A?); and an electronic device manufacturing method including such a pattern forming method.
    Type: Grant
    Filed: December 26, 2017
    Date of Patent: September 29, 2020
    Assignee: FUJIFILM Corporation
    Inventors: Wataru Nihashi, Toru Tsuchihashi, Hideaki Tsubaki
  • Patent number: 10788746
    Abstract: A relief image is prepared by: A) imaging an imageable material to form a mask element; B) exposing a relief-forming precursor through the mask element; C) removing the mask element; and D) developing the imaged relief-forming precursor. The imageable material has, in order: (a) a transparent polymeric carrier sheet; (b) a non-ablatable light-to-heat converting having an average dry thickness of 1-5 ?m and comprising: (i) an infrared radiation absorbing material at 0.1-5 weight %; (ii) a thermally crosslinked organic polymeric binder material; and (iii) non-thermally ablatable particles having an average particle size of 0.1-20 ?m in an amount of 0.2-10 weight %; and (c) a non-silver halide thermally-ablatable imaging layer (IL) disposed on the LTHC layer, the IL comprising a second infrared radiation absorbing material and a UV-light absorbing material dispersed within one or more thermally-ablatable polymeric binder materials. The imageable material can be included in a relief image-forming assembly.
    Type: Grant
    Filed: February 16, 2018
    Date of Patent: September 29, 2020
    Assignee: MIRACLON CORPORATION
    Inventors: Kevin M. Kidnie, Elsie Anderson Fohrenkamm, M. Zaki Ali
  • Patent number: 10768349
    Abstract: A reflective diffraction grating and a fabrication method are provided. The reflective diffraction grating includes a substrate, a UV-absorbing layer, a grating layer having a binary surface-relief pattern formed therein, and a conforming reflective layer. Advantageously, the UV-absorbing layer absorbs light at a UV recording wavelength to minimize reflection thereof by the substrate during holographic patterning at the UV recording wavelength.
    Type: Grant
    Filed: June 30, 2017
    Date of Patent: September 8, 2020
    Assignee: Lumentum Operations LLC
    Inventors: John Michael Miller, Hery Djie, Patrick Lu, Xiaowei Guo, Qinghong Du, Eddie Chiu, Chester Murley
  • Patent number: 10717236
    Abstract: The present disclosure relates to a method for curing a heat-curable material (1) in an embedded curing zone (2) and an assembly resulting from such method. The method comprises providing a heat-conducting strip (3) partially arranged between a component (9) and a substrate (10) that form the embedded curing zone (2) therein between. The heat-conducting strip (3) extends from the embedded curing zone (2) to a radiation-accessible zone (7) that is distanced from the embedded curing zone (2) and at least partially free of the component (9) and the substrate (10). The method further comprises irradiating the heat-conducting strip (3) in the radiation-accessible zone (7) by means of electromagnetic radiation (6).
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: July 21, 2020
    Assignee: NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIJK ONDERZOEK TNO
    Inventors: Jeroen van den Brand, Ashok Sridhar, Anja Henckens, Gunther Dreezen
  • Patent number: 10691013
    Abstract: An EUV lithography system and method of manufacturing thereof includes: an EUV light source; a chuck being thermally conducting and smooth having a surface with a predetermined chuck flatness; and a reflective lens system for directing EUV light from the EUV light source over the surface of the chuck.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: June 23, 2020
    Assignee: Applied Materials, Inc.
    Inventor: Majeed A. Foad
  • Patent number: 10678125
    Abstract: A photomask blank comprising a transparent substrate and a light-shielding film disposed thereon is provided. The light-shielding film is constructed by a single layer or multiple layers including a light-shielding layer containing Si and N, having a N content of 3-50 at % based on the sum of Si and N, being free of a transition metal.
    Type: Grant
    Filed: February 17, 2017
    Date of Patent: June 9, 2020
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takuro Kosaka, Yukio Inazuki, Hideo Kaneko
  • Patent number: 10678127
    Abstract: In one embodiment of the disclosure, it is proposed a photolithography device for generating structure on a photoresist substrate, the photolithography device comprising a light illumination unit and a photomask. The photomask is remarkable in that it comprises at least one layer of dielectric material and a medium having a refractive index lower than that of said dielectric material, wherein a surface of said at least one layer of dielectric material has at least one abrupt change of level forming a step, and wherein at least a base and lateral part of said surface, with respect to said step and a propagation direction of an electromagnetic wave from said light illumination unit, is in contact with said medium.
    Type: Grant
    Filed: October 20, 2017
    Date of Patent: June 9, 2020
    Assignee: InterDigital CE Patent Holdings, SAS
    Inventors: Artem Boriskin, Laurent Blonde
  • Patent number: 10663854
    Abstract: A method of fabricating a photomask comprising providing a photomask blank including a phase shifting layer, a first light blocking layer, a first resist layer, a second light blocking layer and a second resist layer stacked sequentially in this order on a substrate, forming second resist patterns, forming second light blocking patterns, forming first resist patterns, forming first light blocking patterns and phase shifting patterns, removing the first resist patterns, and selectively removing at least one of the first light blocking patterns, wherein the second resist layer has a thickness such that all of the second resist layer is removed while the first resist layer is patterned for exposing the second light blocking layer.
    Type: Grant
    Filed: April 26, 2019
    Date of Patent: May 26, 2020
    Assignee: SK hynix Inc.
    Inventor: Dong Sik Jang
  • Patent number: 10658521
    Abstract: A semiconductor structure and a method for fabricating the same. The semiconductor structure includes at least a first channel region and a second channel region. The first channel region and the second channel region each include metal gate structures surrounding a different nanosheet channel layer. The metal gate structures of the first and second channel regions are respectively separated from each other by an unfilled gap. The method includes forming a gap fill layer between and in contact with gate structures surrounding nanosheet channel layers in multiple channel regions. Then, after the gap fill layer has been formed for each nanosheet stack, a masking layer is formed over the gate structures and the gap fill layer in at least a first channel region. The gate structures and the gap fill layer in at least a second channel region remain exposed.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: May 19, 2020
    Assignee: International Business Machines Corporation
    Inventors: Indira Seshadri, Ekmini Anuja De Silva, Jing Guo, Ruqiang Bao, Muthumanickam Sankarapandian, Nelson Felix
  • Patent number: 10636682
    Abstract: In a substrate processing apparatus, the inner peripheral edge of a second-cup canopy part radially opposes an outer peripheral surface of an opposing-member side wall part. This suppresses dispersion of processing liquids to above a cup part. A second-cup gap distance that is a radial distance between the outer peripheral surface of the opposing-member side wall part and the inner peripheral edge of the second-cup canopy part is greater than a holder gap distance that is a radial distance between the inner peripheral surface of the opposing-member side wall part and the outer peripheral surface of the substrate holder. This prevents or suppresses the possibility that, when a second processing liquid dispersed from a substrate is received by a second cup, the second processing liquid may be pushed downward by a downward airflow. Accordingly, a plurality of types of processing liquids will be separately received by a plurality of cups.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: April 28, 2020
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Noriyuki Kikumoto, Masahiro Kimura, Shuichi Yasuda, Kazuhiro Fujita
  • Patent number: 10622339
    Abstract: A micro-LED macro transfer method, a micro-LED display device, and a method for fabricating the same are provided. In the micro-LED macro transfer method, the LED chips on an array are divided into a first plurality of LED chips and a second plurality of LED chips. An LED chip includes a first surface and a second surface. The first plurality of LED chips are configured so that their first surfaces are coupled to the first transfer substrate. The second plurality of LED chips are configured so that their second surfaces are coupled to the second transfer substrate. Accordingly, the first transfer substrate transfers the first plurality of LED chips to the first transfer substrate while the second transfer substrate transfers the second plurality of LED chips to the second transfer substrate.
    Type: Grant
    Filed: August 31, 2018
    Date of Patent: April 14, 2020
    Assignee: Xiamen Changelight Co., Ltd.
    Inventors: Zhiwei Lin, Qunxiong Deng, Kaixuan Chen, Zhijie Ke, Xiangjing Zhuo
  • Patent number: 10619019
    Abstract: A film is described comprises a (meth)acrylic polymer and a polyvinyl acetal (e.g. butyral) resin. The film has a tensile elastic modulus of at least 1 MPa at 25° C. and 1 hertz and a glass transition temperature (i.e. Tg) less than 30 C. The film typically comprises photoinitiator as a result of the method by which the film was made. In one embodiment, the film is heat bondable and further comprising a backing.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: April 14, 2020
    Assignee: 3M Innovative Properties Company
    Inventors: Corinne E. Lipscomb, Mary M. Caruso Dailey, Jonathan E. Janoski, Anthony F. Schultz
  • Patent number: 10615042
    Abstract: A method of manufacturing a semiconductor apparatus comprises forming a first photoresist on each of a first portion and a second portion of a member, exposing the first photoresist on the first portion using a first photomask, exposing the first photoresist on the second portion using a second photomask, forming a first resist pattern by developing the first photoresist on the first portion and the second portion, etching the first portion and the second portion using the first resist pattern as a mask, forming a second photoresist on a third portion of the member, exposing the second photoresist on the third portion using a third photomask, forming a second resist pattern by developing the second photoresist on the third portion, and etching the third portion using the second resist pattern as a mask.
    Type: Grant
    Filed: May 2, 2018
    Date of Patent: April 7, 2020
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Nobuyuki Endo
  • Patent number: 10586695
    Abstract: Method for performing cleaning treatment on a substrate having a fine pattern provided with a film formed on the surface, comprises: a silylating step of supplying a silylating agent to the surface of the substrate and silylating the surface of the substrate; and a liquid-chemical cleaning step of supplying a cleaning liquid chemical to the surface of the substrate and cleaning the surface of the substrate after, or simultaneously with, the silylating step.
    Type: Grant
    Filed: December 28, 2015
    Date of Patent: March 10, 2020
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Takashi Ota, Taiki Hinode