Material Deposition Only Patents (Class 430/315)
  • Patent number: 9034570
    Abstract: Some embodiments include methods of forming patterns of openings. The methods may include forming spaced features over a substrate. The features may have tops and may have sidewalls extending downwardly from the tops. A first material may be formed along the tops and sidewalls of the features. The first material may be formed by spin-casting a conformal layer of the first material across the features, or by selective deposition along the features relative to the substrate. After the first material is formed, fill material may be provided between the features while leaving regions of the first material exposed. The exposed regions of the first material may then be selectively removed relative to both the fill material and the features to create the pattern of openings.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: May 19, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Gurtej S. Sandhu, John Smythe, Ming Zhang
  • Patent number: 9034562
    Abstract: Improved fidelity to an integrated circuit pattern design in a semiconductor structure ultimately produced is achieved by modeling material removal and deposition processes in regard to materials, reactant, feature size, feature density, process parameters and the like as well as the effects of such parameters on etch and material deposition bias due to microloading and RIE lag (including inverse RIE lag) and using the models to work backward through the intended manufacturing method steps, including hard mask pattern decomposition, to morphologically develop feature patterns for use in most or all process steps which will result in the desired feature sizes and shapes at the completion of the overall process. Modeling of processes may be simplified through use of process assist features to locally adjust rates of material deposition and removal.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: May 19, 2015
    Assignee: International Business Machines Corporation
    Inventors: Derren Neylon Dunn, Ioana Graur, Scott Marshall Mansfield
  • Publication number: 20150130580
    Abstract: A common mode filter and a manufacturing method thereof are disclosed. The common mode filter in accordance with an embodiment of the present invention includes: a magnetic substrate; a coil layer formed on the magnetic substrate and including a coil pattern; a magnetic layer formed on the coil layer; a resin layer formed on the magnetic layer; and an external electrode formed in the resin layer so as to be electrically connected with the coil pattern.
    Type: Application
    Filed: April 23, 2014
    Publication date: May 14, 2015
    Applicant: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Sang-Moon LEE, Ju-Hwan YANG, Hye-Won BANG, Won-Chul SIM
  • Publication number: 20150131417
    Abstract: Near field transducers (NFTs) and devices that include a peg having an air bearing region and an opposing back region, the back region including a sacrificial structure, a disc having a first surface in contact with the peg, and a barrier structure, the barrier structure positioned between the opposing back region of the peg and the first surface of the disc.
    Type: Application
    Filed: November 7, 2014
    Publication date: May 14, 2015
    Inventors: Tong Zhao, Xiaoyue Huang, Michael C. Kautzky, Hui Brickner, Yi-Kuei Ryan Wu
  • Patent number: 9029071
    Abstract: The present invention provides a silicon oxynitride film formation method capable of reducing energy cost, and also provides a substrate equipped with a silicon oxynitride film formed thereby. This method comprises the steps of: casting a film-formable coating composition containing a polysilazane compound on a substrate surface to form a coat; drying the coat to remove excess of the solvent therein; and then irradiating the dried coat with UV light at a temperature lower than 150° C.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: May 12, 2015
    Assignee: Merck Patent GmbH
    Inventors: Ninad Shinde, Tatsuro Nagahara, Yusuke Takano
  • Patent number: 9005883
    Abstract: The invention provides a patterning process comprises the steps of: (1) forming a positive chemically amplifying type photoresist film on a substrate to be processed followed by photo-exposure and development thereof by using an organic solvent to obtain a negatively developed pattern, (2) forming a silicon-containing film by applying a silicon-containing film composition comprising a solvent and a silicon-containing compound capable of becoming insoluble in a solvent by a heat, an acid, or both, (3) insolubilizing in a solvent the silicon-containing film in the vicinity of surface of the negatively developed pattern, (4) removing the non-insolubilized part of the silicon-containing film to obtain an insolubilized part as a silicon-containing film pattern, (5) etching the upper part of the silicon-containing film pattern thereby exposing the negatively developed pattern, (6) removing the negatively developed pattern, and (7) transferring the silicon-containing film pattern to the substrate to be processed.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: April 14, 2015
    Assignee: Shin-Estu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda
  • Patent number: 9005854
    Abstract: A conductive pattern is formed using a reactive polymer comprising pendant tertiary alkyl ester groups, a compound that provides an acid upon exposure to radiation, and a crosslinking agent. A polymeric layer is patternwise exposed to form first exposed regions with a polymer comprising carboxylic acid groups that are contacted with electroless seed metal ions, and then contacted with a halide to form corresponding electroless seed metal halide. Another exposure converts electroless seed metal halide to electroless seed metal nuclei and forms second exposed regions. A reducing agent is used to develop the electroless seed metal nuclei in the second exposed regions, or to develop the electroless seed metal halide in the first exposed regions. Fixing is used to remove any remaining electroless seed metal halide. The electroless seed metal nuclei are then electrolessly plated in various exposed regions.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: April 14, 2015
    Assignee: Eastman Kodak Company
    Inventors: Mark Edward Irving, Thomas B. Brust
  • Patent number: 9005878
    Abstract: A thiosulfate polymer composition includes an electron-accepting photosensitizer component, either as a separate compound or as an attachment to the thiosulfate polymer. The thiosulfate polymer composition can be applied to various articles and used to form a predetermined polymeric pattern after photothermal reaction to form crosslinked disulfide bonds, removing non-crosslinked polymer, and reaction with a disulfide-reactive material.
    Type: Grant
    Filed: January 20, 2014
    Date of Patent: April 14, 2015
    Assignee: Eastman Kodak Company
    Inventors: Deepak Shukla, Kevin M. Donovan, Mark R. Mis
  • Publication number: 20150093687
    Abstract: An exposure mask for forming a pattern in a photosensitive material includes a mask substrate which is disposed facing the photosensitive material; a body portion on the mask substrate and corresponding to a shape of the pattern at a distance furthest from the exposure mask; and a plurality of branch portions on the mask substrate and each extending outward from an outer edge of the body portion, in a plan view. The pattern comprises a contact hole of a display device.
    Type: Application
    Filed: March 26, 2014
    Publication date: April 2, 2015
    Applicant: Samsung Display Co., Ltd.
    Inventors: Jungi KIM, Taegyun KIM, Jin-Su BYUN
  • Patent number: 8986920
    Abstract: A method for forming quarter-pitch patterns is described. Two resist layers are formed. The upper resist layer is defined into first patterns. A coating that contains or generates a reactive material making a resist material dissolvable is formed over the lower resist layer and the first patterns. The reactive material is diffused into a portion of each first pattern and portions of the lower resist layer between the first patterns to react with them. The coating is removed. A development step is performed to remove the portions of the first patterns and the portions of the lower resist layer, so that the lower resist layer is patterned into second patterns. Spacers are formed on the sidewalls of the remaining first patterns and the second patterns. The remaining first patterns are removed, and portions of the second patterns are removed using the spacers on the second patterns as a mask.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: March 24, 2015
    Assignee: Nanya Technology Corporation
    Inventor: Hung-Jen Liu
  • Publication number: 20150075845
    Abstract: Disclosed herein are a printed circuit board and a method of manufacturing the same. According to a preferred embodiment of the present invention, the printed circuit board includes: a base substrate; an inner layer build-up layer formed on the base substrate and including a first inner layer circuit layer, a second inner layer circuit layer, an inner layer insulating layer, and an inner layer via having a tapered section; and an outer layer build-up layer formed on the inner layer build-up layer and including an outer layer circuit layer, an outer layer insulating layer, and an outer layer via having a rectangular section.
    Type: Application
    Filed: September 12, 2014
    Publication date: March 19, 2015
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventor: Ki Young Yoo
  • Patent number: 8980538
    Abstract: A method of forming a layered substrate comprising a self-assembled material is provided. The method includes forming a first layer of material on a substrate, forming a layer of a radiation sensitive material on the first layer of material, imaging the layer of the radiation sensitive material with patterned light, heating the layer of the radiation sensitive material to a temperature at or above the cross-linking reaction temperature, developing the imaged layer, and forming the block copolymer pattern. The radiation sensitive material comprises at least one photo-sensitive component selected from (a) a photo-decomposable cross-linking agent, (b) a photo-base generator, or (c) a photo-decomposable base; and a cross-linkable polymer, wherein imaging by the patterned light provides a pattern defined by a first region having substantial portions of a decomposed photo-sensitive component surrounded by regions having substantial portions of intact photo-sensitive component.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: March 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Mark H. Somervell, Michael A. Carcasi
  • Patent number: 8980525
    Abstract: A chemically amplified positive resist composition is provided comprising an alkali-insoluble or substantially alkali-insoluble polymer having an acid labile group-protected acidic functional group, an alkyl vinyl ether polymer, a photoacid generator, and a benzotriazole compound in a solvent. The composition forms on a substrate a resist film of 5-100 ?m thick which can be briefly developed to form a pattern at a high sensitivity and a high degree of removal or dissolution to bottom.
    Type: Grant
    Filed: July 24, 2012
    Date of Patent: March 17, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hiroyuki Yasuda, Katsuya Takemura
  • Patent number: 8975004
    Abstract: Disclosed are polymer resins, including polymer resin sheets, having good electroconductivity and a method for manufacturing the same. The polymer resins exhibit flexibility and show electroconductivity on their surface as well as along their thickness, and thus can be used as electromagnetic wave-shielding materials having impact- and vibration-absorbing properties as well as conductivity.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: March 10, 2015
    Assignee: 3M Innovative Properties Company
    Inventors: Jeongwan Choi, Un Nyoung Sa, Won-Sik Kim
  • Publication number: 20150053469
    Abstract: Disclosed herein are a printed circuit board and a method of manufacturing the same. The printed circuit board includes a light-blocking glass substrate; a negative photosensitive insulating layer formed on the glass substrate; and a circuit pattern formed on the glass substrate and embedded in the negative photosensitive insulating layer.
    Type: Application
    Filed: December 4, 2013
    Publication date: February 26, 2015
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Eun Sil Kim, Sung Han Kim, Sa Yong Lee, Jin Ho Hong, Yong II Kwon, Sang Hyun Shin, Keun Yong Lee
  • Publication number: 20150053457
    Abstract: Disclosed herein are a printed circuit board and a method of manufacturing the same. According to the preferred embodiment of the present invention, the printed circuit board includes: a glass substrate through which light is not transmitted; a positive photosensitive insulating layer formed on the glass substrate; and a circuit pattern formed on the glass substrate and buried in the positive photosensitive insulating layer.
    Type: Application
    Filed: March 4, 2014
    Publication date: February 26, 2015
    Applicant: SAMSUNG ELECTRO-MECHANICS., LTD.
    Inventors: SUNG HAN KIM, JIN HO HONG, YONG II KWON, SA YONG LEE, EUN SIL KIM, SANG HYUN SHIN, KEUN YONG LEE
  • Patent number: 8962224
    Abstract: Methods for providing a silicon layer on a photomask substrate surface with minimum defeats for fabricating film stack thereon for EUVL applications are provided. In one embodiment, a method for forming a silicon layer on a photomask substrate includes performing an oxidation process to form a silicon oxide layer on a surface of a first substrate wherein the first substrate comprises a crystalline silicon material, performing an ion implantation process to define a cleavage plane in the first substrate, and bonding the silicon oxide layer to a surface of a second substrate, wherein the second substrate is a quartz photomask.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: February 24, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Banqiu Wu, Ajay Kumar, Omkaram Nalamasu
  • Patent number: 8951715
    Abstract: A method of forming a patterned film on both a bottom and a top-surface of a deep trench is disclosed. The method includes the steps of: 1) providing a substrate having a deep trench formed therein; 2) growing a film over a bottom and a top-surface of the deep trench; 3) coating a photoresist in the deep trench and over the substrate and baking the photoresist to fully fill the deep trench; 4) exposing the photoresist to form a latent image that partially covers the deep trench in the photoresist; 5) silylating the photoresist with a silylation agent to transform the latent image into a silylation pattern; 6) etching the photoresist to remove a portion of the photoresist not covered by the silylation pattern; and 7) etching the film to form a patterned film on both the bottom and the top-surface of the deep trench.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: February 10, 2015
    Assignee: Shanghai Huahong Grace Semiconductor Manufacturing Corporation
    Inventor: Xiaobo Guo
  • Patent number: 8945816
    Abstract: A method for producing a semiconductor device includes forming a resist pattern by coating a resist pattern thickening material to cover the surface of the resist pattern, baking the resist pattern thickening material, and developing and separating the resist pattern thickening material, wherein at least one of the coating, the baking and the developing is carried out plural times.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: February 3, 2015
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki
  • Publication number: 20150030981
    Abstract: A photosensitive composition comprises a fluorinated solvent, a photo-acid generator and a copolymer. The copolymer comprises at least three distinct repeating units, including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid-catalyzed cross-linkable group, and a third repeating unit having a sensitizing dye. The composition is useful in the fabrication of electronic devices, especially organic electronic and bioelectronic devices.
    Type: Application
    Filed: July 18, 2014
    Publication date: January 29, 2015
    Inventors: Douglas Robert Robello, Charles Warren Wright
  • Patent number: 8936890
    Abstract: A pattern is formed in a polymeric layer comprising (a) a reactive polymer comprising -A- recurring units comprising pendant tertiary alkyl ester groups, (b) a compound that provides an acid upon exposure to radiation having a ?max of 150 nm to 450 nm, and (c) a crosslinking agent that is capable of reacting in the presence of the acid to provide crosslinking in the (a) reactive polymer. The polymeric layer is patternwise exposed to the radiation to provide a polymeric layer comprising exposed regions comprising a polymer comprising carboxylic acid groups. The exposed regions are contacted with a reducing agent to incorporate reducing agent, and then contacted with electroless seed metal ions to oxidize the reducing agent and to form corresponding electroless seed metal nuclei. The electroless seed metal nuclei are then electrolessly plated with a metal to form a conductive metal pattern.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: January 20, 2015
    Assignee: Eastman Kodak Company
    Inventors: Mark Edward Irving, Thomas B. Brust
  • Publication number: 20150017587
    Abstract: [Object] To provide a composition enabling to form a fine negative photoresist pattern free from troubles, such as, surface roughness, bridge defects, and resolution failure; and also to provide a pattern formation method using that composition. [Means to Solve the Problem] A fine pattern-forming composition is used for miniaturizing a resist pattern by fattening said pattern in a process of formation of a negative resist pattern using a chemically amplified resist composition. The fine pattern-forming composition comprises a polymer comprising a repeating unit having a structure of the following formula (A), (B) or (C): and a solvent. This composition is cast on a negative resist pattern obtained by development with an organic solvent developer, and then heated to form a fine pattern.
    Type: Application
    Filed: October 10, 2012
    Publication date: January 15, 2015
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Tetsuo Okayasu, Takashi Sekito, Mashiro Ishii
  • Patent number: 8932803
    Abstract: A pattern is formed by coating a first chemically amplified positive resist composition comprising a resin comprising recurring units having an acid labile group so that it may turn soluble in alkaline developer upon elimination of the acid labile group, a photoacid generator, and a first organic solvent, onto a processable substrate, prebaking, exposing, PEB, and developing in an alkaline developer to form a positive pattern; heating the positive pattern to render it resistant to a second organic solvent used in a second resist composition; coating the second resist composition, prebaking, exposing, PEB, and developing in a third organic solvent to form a negative pattern. The positive pattern and the negative pattern are simultaneously formed.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: January 13, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8927200
    Abstract: A double patterning method includes providing a first resist film on a substrate using a first photoresist composition. The first resist film is exposed. The exposed first resist film is developed using a first developer to form a first resist pattern. A second resist film is provided in at least space areas of the first resist pattern using a second photoresist composition. The second resist film is exposed. The exposed second resist film is developed using a second developer that includes an organic solvent to form a second resist pattern. The first resist pattern is insoluble or scarcely soluble in the second developer.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: January 6, 2015
    Assignee: JSR Corporation
    Inventors: Kanako Meya, Takeo Shioya, Motoyuki Shima
  • Patent number: 8927201
    Abstract: A multilayer resist process pattern-forming method includes providing an inorganic film over a substrate. A protective film is provided on the inorganic film. A resist pattern is provided on the protective film. A pattern is provided on the substrate by etching that utilizes the resist pattern as a mask. A multilayer resist process inorganic film-forming composition includes a compound, an organic solvent, and a crosslinking accelerator. The compound includes a metal compound that includes a hydrolyzable group, a hydrolysate of a metal compound that includes a hydrolyzable group, a hydrolysis-condensation product of a metal compound that includes a hydrolyzable group, or a combination thereof. The compound includes at least one metal element belonging to Group 6, Group 12, or Group 13 of the Periodic Table of the Elements.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: January 6, 2015
    Assignee: JSR Corporation
    Inventors: Kazunori Takanashi, Yoshio Takimoto, Takashi Mori, Kazuo Nakahara, Masayuki Motonari
  • Publication number: 20150004058
    Abstract: A method may involve forming one or more photoresist layers over a sensor located on a structure, such that the sensor is covered by the one or more photoresist layers. The sensor is configured to detect an analyte. The method may involve forming a first polymer layer. Further, the method may involve positioning the structure on the first polymer layer. Still further, the method may involve forming a second polymer layer over the first polymer layer and the structure, such that the structure is fully enclosed by the first polymer layer, the second polymer layer, and the one or more photoresist layers. The method may also involve removing the one or more photoresist layers to form a channel through the second polymer layer, wherein the sensor is configured to receive the analyte via the channel.
    Type: Application
    Filed: June 28, 2013
    Publication date: January 1, 2015
    Inventors: Huanfen Yao, Jeffrey George Linhardt, Babak Parviz
  • Publication number: 20140363927
    Abstract: A method of attaching a chip to the substrate with an outer layer comprising via pillars embedded in a dielectric such as solder mask, with ends of the via pillars flush with said dielectric, the method comprising the steps of: (o) optionally removing organic varnish, (p) positioning a chip having legs terminated with solder bumps in contact with exposed ends of the via pillars, and (q) applying heat to melt the solder bumps and to wet the ends of the vias with solder.
    Type: Application
    Filed: June 7, 2013
    Publication date: December 11, 2014
    Inventors: Dror Hurwitz, Alex Huang
  • Publication number: 20140356582
    Abstract: The present invention provides a single-layer multi-point touch-control conductive film and a method for producing the same.
    Type: Application
    Filed: April 29, 2014
    Publication date: December 4, 2014
    Applicants: NANCHANG O-FILM TECH CO., LTD., SUZHOU O-FILM TECH CO., LTD., SHENZHEN O-FILM TECH CO., LTD.
    Inventors: SHENG ZHANG, Ying Gu, Hongwei Kang, Yulong Gao, Shengbo Guo, Yunliang Yang
  • Patent number: 8883403
    Abstract: A method of fabricating a semiconductor integrated circuit (IC) is disclosed. The method includes providing a substrate having two different topography areas adjacent to each other. A step-forming material (SFM) is deposited over the substrate. A patterned SFM is formed in the low topography area of the two areas. The formation of the patterned SFM provides a fairly planar surface across over the substrate.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: November 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Chang Chen, Shun-Shing Yang, Chuan-Ling Wu, Wang-Pen Mo, Hung-Chang Hsieh
  • Patent number: 8877641
    Abstract: A method for mitigating line-edge roughness on a semiconductor device. The method includes line-edge roughness mitigation techniques in accordance with embodiments of the present invention. The techniques include: reducing the SiON film thickness below a conventional thickness; increasing the photoresist thickness above a conventional thickness; etching the SiON film with an etch bias power less than a conventional wattage amount with an overetch percentage less than a conventional overetch percentage; removing the SiON film layer immediately after completion of the amorphous carbon film layer etching; and lowering the lower electrode temperature below a conventional temperature.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: November 4, 2014
    Assignee: Spansion LLC
    Inventor: Calvin T Gabriel
  • Patent number: 8869391
    Abstract: A method for producing a wired circuit board includes the steps of preparing a metal supporting layer, forming an insulating layer on the metal supporting layer so as to form an opening, forming a conductive thin film on the insulating layer and on the metal supporting layer that is exposed from the opening of the insulating layer, heating the conductive thin film, forming a conductive pattern on the conductive thin film that is formed on the insulating layer, and forming a metal connecting portion to be continuous to the conductive pattern on the conductive thin film that is formed on the metal supporting layer exposing from the opening of the insulating layer.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: October 28, 2014
    Assignee: Nitto Denko Corporation
    Inventors: Katsutoshi Kamei, Yuu Sugimoto, Hitoki Kanagawa
  • Patent number: 8846301
    Abstract: An orthogonal process for photolithographic patterning organic structures is disclosed. The disclosed process utilizes fluorinated solvents or supercritical CO2 as the solvent so that the performance of the organic conductors and semiconductors would not be adversely affected by other aggressive solvent. One disclosed method may also utilize a fluorinated photoresist together with the HFE solvent, but other fluorinated solvents can be used. In one embodiment, the fluorinated photoresist is a resorcinarene, but various fluorinated polymer photoresists and fluorinated molecular glass photoresists can be used as well. For example, a copolymer perfluorodecyl methacrylate (FDMA) and 2-nitrobenzyl methacrylate (NBMA) is a suitable orthogonal fluorinated photoresist for use with fluorinated solvents and supercritical carbon dioxide in a photolithography process.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: September 30, 2014
    Assignee: Cornell University
    Inventors: Christopher K. Ober, George Malliaras, Jin-Kyun Lee, Alexander Zakhidov, Margarita Chatzichristidi, Priscilla Taylor
  • Patent number: 8828252
    Abstract: A silsesquioxane resin is applied on top of the patterned photo-resist and cured to produce a cured silsesquioxane resin on top of the pattern surface. Subsequently, an aqueous base stripper or a reactive ion etch recipe containing CF4 is used to “etch back” the silicon resin to the top of the photoresist material, exposing the entire top surface of the photoresist. Then, a second reactive ion etch recipe containing O2 to etch away the photoresist. The result is a silicon resin film with via holes with the size and shape of the post that were patterned into the photoresist. Optionally, the new pattern can be transferred into the underlying layer(s).
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: September 9, 2014
    Assignee: Dow Corning Corporation
    Inventors: Michael L. Bradford, Eric Scott Moyer, Kasumi Takeuchi, Sheng Wang, Craig Rollin Yeakle
  • Patent number: 8822133
    Abstract: A method of forming a pattern comprises diffusing an acid, generated by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer comprising an acid decomposable group and an attachment group, to form an interpolymer crosslink and/or covalently bonded to the surface of the substrate. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region at the surface, in the shape of the pattern. The photosensitive layer is removed to forming a self-assembling layer comprising a block copolymer having a block with an affinity for the polar region, and a block having less affinity than the first. The first block forms a domain aligned to the polar region, and the second block forms a domain aligned to the first. Removing either the first or second domain exposes a portion of the underlayer.
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: September 2, 2014
    Assignees: Rohm and Haas Electronic Materials LLC, Dow Global Technologies LLC
    Inventors: Peter Trefonas, Phillip Dene Hustad, Cynthia Pierre
  • Publication number: 20140242359
    Abstract: Provided is a method of forming a pattern, including (a) forming, into a film, an actinic-ray- or radiation-sensitive resin composition comprising a resin that when acted on by an acid, increases its polarity and a compound that when exposed to actinic rays or radiation, generates an acid, (b) exposing the film to light, (c) developing the exposed film with a developer comprising an organic solvent to thereby form a negative pattern, and (d) coating the pattern with a composition comprising a resin comprising any of repeating units of general formula (I) below, a crosslinker component and an alcohol solvent to thereby induce crosslinking with the resin as a constituent of the pattern and thus form a crosslinked layer, in which R1 represents any of an alkyl group, an alkoxy group, an alkylcarbonyloxy group and an alkoxycarbonyl group.
    Type: Application
    Filed: May 2, 2014
    Publication date: August 28, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Atsushi NAKAMURA, Tadahiro ODANI
  • Patent number: 8815495
    Abstract: A disclosed mask pattern forming method includes isotropically coating a surface of a resist pattern array having a predetermined line width with a silicon oxide film, embedding a gap in the resist pattern array coated by the silicon oxide film with a carbon film, removing the carbon film from the upper portion and etching back the carbon film while leaving the carbon film within the gap in any order, removing the remaining carbon film and etching back the upper portion of the resist pattern array to have a predetermined film thickness in any order, and forming a first mask pattern array which has a center portion having a predetermined width and film sidewall portions sandwiching the predetermined width, and arranged interposing a space width substantially the same as the predetermined line width with an asking process provided to the resist pattern array exposed from the removed silicon oxide film.
    Type: Grant
    Filed: September 8, 2010
    Date of Patent: August 26, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masato Kushibiki, Eiichi Nishimura
  • Publication number: 20140224527
    Abstract: A flexible circuit board comprises a substrate which has a polyimide layer recessed to define at least a compartment. The compartment includes an inner wall surface having a side wall and a bottom wall. The compartment is for containing a multilayer unit, wherein the multilayer unit includes an adhesion enhancing layer formed on the wall of the compartment, a first electrically conducting layer disposed on the adhesion enhancing layer, and a second electrically conducting layer formed on the first electrically conducting layer. The adhesion enhancing layer is palladium. The first electrically conducting layer is nickel. The substrate is composed of polyimide (PI).
    Type: Application
    Filed: August 15, 2013
    Publication date: August 14, 2014
    Applicant: ICHIA TECHNOLOGIES,INC.
    Inventors: CHIEN-HWA CHIU, CHIH-MIN CHAO, PEIR-RONG KUO, CHIA-HUA CHIANG, CHIH-CHENG HSIAO, FENG-PING KUAN, YING-WEI LEE, YUNG-CHANG JUANG
  • Patent number: 8802566
    Abstract: A method for producing semiconductor components on a substrate including photolithographic patterning steps, in which method, on the substrate, a first layer to be patterned is applied and a second layer serving as a mask layer for the first layer to be patterned is applied, wherein a third layer serving as a mask for the second layer is applied, and wherein at least two photolithographic patterning processes are carried out successively for the second layer, wherein, during one of the patterning processes, after the production of a structure made from a photosensitive layer for the provision of a mask layer for a patterning process at the third layer, positive ramp angles ? are produced at the patterning edges of the third layer, as a result of which the structures remaining free, given a thickness h of the third layer, decrease in size by a value D=2*h/tan ?.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: August 12, 2014
    Assignee: Espros Photonics AG
    Inventors: Martin Popp, Beat De Coi, Marco Annese
  • Patent number: 8790863
    Abstract: In a method for imaging a solid state substrate, a vapor is condensed to an amorphous solid water condensate layer on a surface of a solid state substrate. Then an image of at least a portion of the substrate surface is produced by scanning an electron beam along the substrate surface through the water condensate layer. The water condensate layer integrity is maintained during electron beam scanning to prevent electron-beam contamination from reaching the substrate during electron beam scanning. Then one or more regions of the layer can be locally removed by directing an electron beam at the regions. A material layer can be deposited on top of the water condensate layer and any substrate surface exposed at the one or more regions, and the water condensate layer and regions of the material layer on top of the layer can be removed, leaving a patterned material layer on the substrate.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 29, 2014
    Assignee: President and Fellows of Harvard College
    Inventors: Daniel Branton, Anpan Han, Jene A. Golovchenko
  • Patent number: 8765359
    Abstract: Methods of preparing organosilane-functionalized regions on a substrate surface and more specifically fabricating patterned functionalized substrates suitable to be optically read, the methods generally comprising employing a vapor deposition process of an organosilane gas onto a lithographically patterned silicon surface followed by removal of the patterning media in a bath of organic solvents and ultrasonic excitation. The inventive methods provide optimized surface density of functional species while avoiding deleterious effects that can occur when lithographically patterned substrates are exposed to various gaseous species during the functionalization process.
    Type: Grant
    Filed: June 5, 2012
    Date of Patent: July 1, 2014
    Assignee: Complete Genomics, Inc.
    Inventors: Andres Fernandez, Shaunak Roy, Jay Shafto, Norman L. Burns, Claudia Richter, Pierre F. Indermuhle
  • Patent number: 8758985
    Abstract: Provided are a method of fabricating a nanostructure array and a device including the nanostructure array. Nanoscale patterning is caused at an interface of a resist layer by light passed through a focusing layer. By such nanoscale patterning, a nanostructure array is fabricated on a substrate in various ways. As the focusing layer, an array of beads or lenses is used, and a pattern of the resist layer may include a nanoscale pore-opening and an undercut structure connected to a lower portion of the opening. The method facilitates adjustment of the size and shape of nanostructures and the interval between the nanostructures. Also, performance of the device including the nanostructure array can be improved. In particular, the method and device result in a sensor having improved sensitivity and reliability optimized for an environment and purpose to be used.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: June 24, 2014
    Assignee: Korea Institute of Science and Technology
    Inventors: Kyeong Seok Lee, Won Mok Kim, In Ho Kim
  • Publication number: 20140163414
    Abstract: Disclosed herein is an insertable probe for diagnosis of lesional tissue in real time. The insertable probe includes a guide needle inserted into the human body and having a hollow shape of a predetermined length, a storage connected to the guide needle, a tissue collection portion collecting a predetermined amount of tissue in the human body and moving the collected tissue to the storage through the guide needle, and an inspection unit inspecting the tissue stored in the storage. A method of manufacturing an electrode using the same is also disclosed.
    Type: Application
    Filed: December 4, 2013
    Publication date: June 12, 2014
    Applicant: Gwangju Institute Of Science And Technology
    Inventors: Jong Hyun LEE, Giseok KANG, Jae-Cheon KIM
  • Patent number: 8748083
    Abstract: A method for forming wires with a narrow spacing is provided. The method includes the steps of: sequentially forming a first metal layer and a protective layer on a substrate; using a first photomask to pattern the first metal layer and the protective layer, so as to form a first metal line and a patterned protective layer thereon; forming a second metal layer on the substrate and the patterned protective layer; using a second photomask to pattern the second metal layer, so as to form a second metal line adjacent to the first metal line; and removing the patterned protective layer on the first metal line. According to the method, the wires can be located at the same layer with a narrow spacing, thereby avoiding a problem that the wires are easily broken.
    Type: Grant
    Filed: January 9, 2013
    Date of Patent: June 10, 2014
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Han-tung Hsu
  • Patent number: 8728335
    Abstract: A silsesquioxane resin is applied over the patterned photo-resist and cured at the pattern surface to produce a cured silsesquioxane resin on the pattern surface. The uncured silsesquioxane resin layer is then removed leaving the cured silsesquioxane resin on the pattern surface. The cured silsesquioxane resin on horizontal surfaces is removed to expose the underlying photo-resist. This photo-resist is removed leaving a pattern of cured silsesquioxane. Optionally, the new pattern can be transferred into the underlying layer(s).
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: May 20, 2014
    Assignee: Dow Corning Corporation
    Inventors: Peng-Fei Fu, Eric Scott Moyer, Jason D. Suhr
  • Patent number: 8728715
    Abstract: A method of forming a patterned photoresist layer having a hydrophobic surface is provided. The method includes forming a photoresist layer on a substrate and image patterning. The photoresist layer may comprise a polymeric material. The imaged photoresist layer may then undergo a two-stage post-exposure bake. A surface treatment may be performed on the photoresist layer in between the two-stage post-exposure bake. The surface treatment may include applying a siloxane solution on a partially post-exposure baked photoresist layer. The post-exposure baked photoresist layer may then be developed to form the patterned photoresist layer. The method may be used to form a hydrophobic photoimageable nozzle plate of a micro-fluid ejection head having improved mechanical properties and stable hydrophobic properties.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: May 20, 2014
    Assignee: Funai Electric Co., Ltd.
    Inventors: David Bernard, Paul Dryer, Bart Mansdorf, Xiaoming Wu
  • Patent number: 8728716
    Abstract: There is provided that a method for producing a resin pattern, and the method includes at least the steps (1) to (7) in this order; (1) a coating step of coating a photosensitive resin composition on a substrate; (2) a solvent removal step of removing the solvent from the applied photosensitive resin composition; (3) an exposure step of patternwise exposing the photosensitive resin composition from which the solvent has been removed, to an active radiation; (4) a development step of developing the exposed photosensitive resin composition using an aqueous developer liquid; (5) an overcoating step of providing an overcoat layer on the developed photosensitive resin composition; (6) a heat-treating step of heat-treating the photosensitive resin composition on which the overcoat layer has been provided; and (7) a removal step of removing the overcoat layer.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: May 20, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Takeshi Andou, Junichi Fujimori, Hiroyuki Yonezawa, Yasumasa Kawabe, Hideyuki Nakamura
  • Patent number: 8703396
    Abstract: Some embodiments include methods of forming patterns of openings. The methods may include forming spaced features over a substrate. The features may have tops and may have sidewalls extending downwardly from the tops. A first material may be formed along the tops and sidewalls of the features. The first material may be formed by spin-casting a conformal layer of the first material across the features, or by selective deposition along the features relative to the substrate. After the first material is formed, fill material may be provided between the features while leaving regions of the first material exposed. The exposed regions of the first material may then be selectively removed relative to both the fill material and the features to create the pattern of openings.
    Type: Grant
    Filed: December 11, 2012
    Date of Patent: April 22, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sllls, Gurtej Sandhu, John Smythe, Ming Zhang
  • Patent number: 8703397
    Abstract: A method for fabricating a side shield for a magnetic transducer is described. The magnetic transducer has a nonmagnetic layer and a pole on the nonmagnetic layer. The pole has sidewalls and an air-bearing surface location (ABS location) corresponding to an air-bearing surface (ABS). A developable bottom antireflective coating (D-BARC) layer covering the pole and at least a portion of the nonmagnetic layer is provided. The D-BARC layer is photosensitive. A photosensitive mask layer is provided on the D-BARC layer. A first portion of the mask layer and a first portion of the D-BARC layer are removed to form a bi-layer mask. The bi-layer mask has an aperture in the mask layer and the D-BARC layer. At least one side shield layer is deposited. At least a portion of the at least one side shield layer resides in the aperture. The bi-layer mask is also removed.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: April 22, 2014
    Assignee: Western Digital (Fremont), LLC
    Inventors: Xianzhong Zeng, Hai Sun
  • Patent number: 8703395
    Abstract: A pattern-forming method includes applying a photoresist composition to a substrate to form a resist film. The photoresist composition includes an acid generator and a first polymer that includes an acid-dissociable group. The resist film is exposed. The resist film is developed using a developer having an organic solvent content of 80 mass % or more to form a prepattern of the resist film. A polymer film having a phase separation structure in a space defined by the prepattern is formed using a composition that includes a plurality of second polymers. A part of the phase separation structure of the polymer film is removed.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: April 22, 2014
    Assignee: JSR Corporation
    Inventors: Hayato Namai, Hiroki Nakagawa, Kentaro Harada, Takehiko Naruoka
  • Publication number: 20140104798
    Abstract: Disclosed herein are a hybrid lamination substrate and a manufacturing method thereof. The hybrid lamination substrate includes: a core layer; at least one first insulating layer that is made of a photosensitive resin material and is formed on an upper portion, a lower portion, or upper and lower portions of the core layer; and at least one second insulating layer that is made of a non-photosensitive resin material and is formed on the upper portion, the lower portion, or the upper and lower portions of the core layer. Further, a package substrate including the same and a manufacturing method of a hybrid lamination substrate are proposed.
    Type: Application
    Filed: October 16, 2013
    Publication date: April 17, 2014
    Applicant: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Seung Wook Park, Dong Hwan LEE, Romero CHRISTIAN, Young Do KWEON, Jin Gu KIM