Named Electrical Device Patents (Class 430/319)
  • Patent number: 9448471
    Abstract: The present invention provides a photo-mask for manufacturing structures on a semiconductor substrate, which comprises a photo-mask substrate, a first pattern, a second pattern and a forbidden pattern. A first active region, a second active region are defined on the photo-mask substrate, and a region other than the first active region and the second active region are defined as a forbidden region. The first pattern is disposed in the first active region and corresponds to a first structure on the semiconductor substrate. The second pattern is disposed in the second active region and corresponds to a second structure on the semiconductor substrate. The forbidden pattern is disposed in the forbidden region, wherein the forbidden pattern has a dimension beyond resolution capability of photolithography and is not used to form any corresponding structure on the semiconductor substrate. The present invention further provides a method of manufacturing semiconductor structures.
    Type: Grant
    Filed: July 21, 2014
    Date of Patent: September 20, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: En-Chiuan Liou, Yu-Cheng Tung, Teng-Chin Kuo, Yuan-Chi Pai, Chun-Chi Yu
  • Patent number: 9360897
    Abstract: A touchscreen panel sensor film, with alignment marks or product information assigned thereto is formed so as to improve post-processing accuracy. The touchscreen panel sensor film includes a transparent base film and a transparent electrical conductor pattern provided on at least one surface of the base film, and achieves the improvement of post-processing accuracy by having alignment marks or product information in a non-active area on the sensor film.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: June 7, 2016
    Assignee: Dai Nippon Printing Co., Ltd
    Inventor: Masahiro Takahashi
  • Patent number: 9323092
    Abstract: A touch panel including a first substrate, plural first electrode lines and plural second electrode lines is provided. The first electrode lines and the second electrode lines are respectively arranged on the first substrate and extended along two different directions respectively. Each of the first electrode lines includes plural electrode pads and plural first connecting parts connected therebetween, wherein each of the first connecting parts has two end portions and a center portion, a width of each of the first connecting parts is decreased from the two end portions to the center portion, and corners of connections between the end portions and the corresponding electrode pads are smooth curved surfaces. The second electrode lines are electrically insulated with the first electrode lines, and perpendicular projections of each of the second electrode lines and the corresponding first connecting part on the first substrate are intersected to form an overlap region.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: April 26, 2016
    Assignee: HTC Corporation
    Inventors: Pi-Lin Lo, Yen-Cheng Lin, Yi-Fan Hsueh, Jui-Liang Chen, Yi-Cheng Li
  • Patent number: 9297958
    Abstract: An opto-electric hybrid board includes: an electric circuit board including an insulative layer, and an element mounting electrode formed on the front surface of the insulative layer; an optical element mounted on the element mounting electrode by contact frictional heat; and an optical waveguide including a first cladding layer in contact with the back surface of the insulative layer of the electric circuit board. Between the insulative layer and the first cladding layer, a reinforcing layer is provided at the portion corresponding to the element mounting electrode. A reinforcing layer is provided at the portion corresponding to the element mounting electrode, in the surface of the first cladding layer, which is on the side opposite to the insulative layer. The resin-made reinforcing layer is greater than the first cladding layer in storage modulus at the temperature of the board when the element is being mounted.
    Type: Grant
    Filed: October 3, 2013
    Date of Patent: March 29, 2016
    Assignee: NITTO DENKO CORPORATION
    Inventors: Yuichi Tsujita, Toshikazu Baba, Shotaro Masuda
  • Patent number: 9292148
    Abstract: The disclosure relates to a method of fabricating a capacitive touch pane where a plurality of groups of first conductive patterns are formed along a first direction, a plurality of groups of second conductive patterns are formed along a second direction, and a plurality of connection components are formed on a substrate. Each of the first conductive patterns is electrically connected to another adjacent first conductive pattern in the same group by each of the connection components and each of the plurality of groups of the second conductive patterns is interlaced with and insulated from each of the plurality of groups of the first conductive patterns. A plurality of curved insulation mounds are formed to cover the first connection components. A plurality of bridge components are formed to electrically connect each of the second conductive patterns with another adjacent second conductive pattern in the same group.
    Type: Grant
    Filed: March 18, 2015
    Date of Patent: March 22, 2016
    Assignees: INNOCOM TECHNOLOGY (SHENZHEN) CO., LTD., INNOLUX CORPORATION
    Inventors: Chao-Sung Li, Lien-Hsin Lee, Kai Meng
  • Patent number: 9196500
    Abstract: A method for manufacturing semiconductor structures includes providing a substrate having a plurality of mandrel patterns and a plurality of dummy patterns, simultaneously forming a plurality of first spacers on sidewalls of the mandrel patterns and a plurality of second spacers on sidewalls of the dummy patterns, and removing the second spacers and the mandrel patterns to form a plurality of spacer patterns on the substrate.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: November 24, 2015
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Ching-Ling Lin, Po-Chao Tsao, Chia-Jui Liang, Chien-Ting Lin
  • Patent number: 9128339
    Abstract: The present disclosure relates to an ultra high-resolution liquid crystal display having a compensating thin film transistor at each pixel. The present disclosure suggests a thin film transistor substrate comprising: gate lines running in horizontal direction and data lines running in vertical direction which define a plurality of pixel area on a substrate; a first gate electrode and a second gate electrode formed by dividing any one gate line disposed at any one of an upper side and a lower side; a first thin film transistor connected to the first gate electrode; and a second thin film transistor connected to the first thin film transistor and the second gate electrode. The flat panel display according to the present disclosure has an ultra high-density resolution over 300PPI with the high aperture ratio.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: September 8, 2015
    Assignee: LG Display Co., Ltd.
    Inventor: Jungil Lee
  • Patent number: 9081278
    Abstract: A photosensitive conductive paste includes a dicarboxylic acid or an acid anhydride thereof (A); a compound (B) having an acid value of 40 to 200 mg KOH/g; an alicyclic compound (C); a photopolymerization initiator (D); and a conductive filler (E).
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: July 14, 2015
    Assignee: Toray Industries, Inc.
    Inventors: Tsukuru Mizuguchi, Satoshi Matsuba, Kazutaka Kusano
  • Patent number: 9069247
    Abstract: The present invention provides a silicon-containing surface modifier containing one or more repeating units each represented by the following general formula (A), or one or more partial structures each represented by the following general formula (C): It is aimed at providing a resist lower layer film which is usable for a resist pattern formed of a hydrophilic organic compound to be obtained in a negative development.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: June 30, 2015
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Yoshinori Taneda
  • Patent number: 9063376
    Abstract: In an exposure device, picture elements or pixels of a liquid crystal display device are split into two parts in the width direction, and exposed from different directions, whereby an alignment material film is photoaligned. The exposure device causes two beams of exposure light outputted by two light sources (a first light source and a second light source to be transmitted through respectively different light transmission regions in a predetermined pattern of a mask, to irradiate regions of an alignment material film formed on a member for exposure, which regions correspond to split regions of pixels or picture elements. The exposure device causes the two beams of exposure light to mutually intersect on the optical path between the first and second light sources and the alignment material film.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: June 23, 2015
    Assignee: V TECHNOLOGY CO., LTD.
    Inventor: Michinobu Mizumura
  • Patent number: 9040228
    Abstract: A method for forming patterns of a semiconductor device includes providing a photomask that includes an array of contact holes in an active region, a plurality of first dummy contact holes for restricting pattern distortion of the contact holes in an area outside of the array of the contact holes, a plurality of first assist features for restricting pattern distortion of the first dummy contact holes disposed inside a corresponding one of the first dummy contact holes, and an array of second assist features for additionally restricting pattern distortion of the first dummy contact holes. The array of second assist features is disposed outside of the first dummy contact holes. The method also includes forming an array of contact holes and first dummy contact holes on a wafer by using the photomask as an exposure mask.
    Type: Grant
    Filed: March 25, 2014
    Date of Patent: May 26, 2015
    Assignee: SK hynix Inc.
    Inventor: Jeon Kyu Lee
  • Publication number: 20150140479
    Abstract: A method of processing a semiconductor wafer may include providing a rotatably alignable photolithography mask that includes different mask images. Each mask image may be in a corresponding different mask sector. The method may also include performing a series of exposures with the rotatably alignable photolithography mask at different rotational alignments with respect to the semiconductor wafer so that the different mask images produce at least one working semiconductor wafer sector, and at least one non-working semiconductor wafer sector.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Applicant: STMICROELECTRONICS PTE LTD
    Inventors: Alan Lee, Xi Ge
  • Publication number: 20150140287
    Abstract: A method for making a nanowire-based electrode having homogenous optical property and heterogeneous electrical property is disclosed. The method comprises forming a pattern on the electrode using a photolytically process.
    Type: Application
    Filed: January 22, 2014
    Publication date: May 21, 2015
    Inventor: Hakfei Poon
  • Patent number: 9034564
    Abstract: Disclosed are methods for making read sensors using developable bottom anti-reflective coating and amorphous carbon (a-C) layers as junction milling masks. The methods described herein provide an excellent chemical mechanical polishing or planarization (CMP) stop, and improve control in reader critical physical parameters, shield to shield spacing (SSS) and free layer track width (FLTW).
    Type: Grant
    Filed: November 12, 2013
    Date of Patent: May 19, 2015
    Assignee: Western Digital (Fremont), LLC
    Inventors: Wei Gao, Miao Wang, Hai Sun, Ming Mao, Tong Zhao
  • Patent number: 9034565
    Abstract: A substrate for an organic light-emitting device which can improve the light extraction efficiency of an organic light-emitting device while realizing an intended level of transmittance, a method of fabricating the same, and an organic light-emitting device having the same. Light emitted from the OLED is emitted outward through the substrate. The substrate includes a substrate body and a number of crystallized particles disposed inside the substrate body, the number of crystallized particles forming a pattern inside the substrate body.
    Type: Grant
    Filed: May 2, 2014
    Date of Patent: May 19, 2015
    Assignee: Samsung Corning Precision Materials Co., Ltd.
    Inventors: Kiyeon Lee, Jhee-Mann Kim, Youngseok Lee, Kyungmin Yoon, Jaeho Lee
  • Publication number: 20150132699
    Abstract: A fluorinated photopolymer composition is disclosed having a branched copolymer provided in a fluorinated solvent. The copolymer includes a branching unit, a first repeating unit having a fluorine-containing group, and a second repeating unit having a solubility-altering reactive group. The branched fluorinated photopolymer composition is particularly suited for the fabrication of organic electronic and bioelectronic devices, or other devices having sensitive active organic materials.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 14, 2015
    Inventors: Douglas Robert Robello, Charles Warren Wright, Diane Carol Freeman, Frank Xavier Byrne, John Andrew DeFranco, Sandra Rubsam, Terrence Robert O'Toole
  • Publication number: 20150132702
    Abstract: A method for fabricating a semiconductor product includes applying a photo-resist layer to a substrate, the photo-resist layer including a higher acid concentration at an upper portion of the photo-resist layer than at a lower portion of the photo-resist layer. The method also includes exposing the photo-resist layer to a light source through a mask including a feature, the photo-resist layer including a floating, diffusing acid that will diffuse into a region of the photo-resist layer affected by the feature while not diffusing into a feature formed by the mask.
    Type: Application
    Filed: January 16, 2015
    Publication date: May 14, 2015
    Inventors: Ching-Yu Chang, Ming-Feng Shieh, Wen-Hung Tseng
  • Publication number: 20150131417
    Abstract: Near field transducers (NFTs) and devices that include a peg having an air bearing region and an opposing back region, the back region including a sacrificial structure, a disc having a first surface in contact with the peg, and a barrier structure, the barrier structure positioned between the opposing back region of the peg and the first surface of the disc.
    Type: Application
    Filed: November 7, 2014
    Publication date: May 14, 2015
    Inventors: Tong Zhao, Xiaoyue Huang, Michael C. Kautzky, Hui Brickner, Yi-Kuei Ryan Wu
  • Patent number: 9029072
    Abstract: Provided is a liquid crystal display manufacturing method that is capable of improving the aperture ratio while suppressing unevenness in the display. The present invention is a method of manufacturing a liquid crystal display provided with an array substrate including an insulating layer, a source bus line and a pixel electrode, in which the source bus line and pixel electrode are provided on the insulating layer, and the source bus line includes a lower layer and an upper layer layered onto the lower layer. This manufacturing method includes a first photolithography step for using a first photomask to pattern the first conductive film, and, after the first photolithography step, a second photolithography step for using a second photomask to pattern at least a second conductive film and forming the source bus line and the pixel electrode.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: May 12, 2015
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Hijiri Nakahara
  • Publication number: 20150118624
    Abstract: The present invention provides a dendrimer compound capable of improving critical dimension uniformity and DOF (depth of focus) margin, and also provides a composition capable of forming an underlayer film. The dendrimer compound comprises a branched chain having a central aromatic skeleton and amide or ester bond, and is contained in the composition for forming an underlayer film.
    Type: Application
    Filed: October 15, 2014
    Publication date: April 30, 2015
    Inventors: Shigemasa NAKASUGI, Masato SUZUKI, Jin LI, Motoki MISUMI, Yasuaki IDE
  • Patent number: 9012130
    Abstract: The present disclosure relates to a method of fabricating a capacitive touch pane where a plurality of groups of first conductive patterns are formed along a first direction, a plurality of groups of second conductive patterns are formed along a second direction, and a plurality of connection components are formed on a substrate. Each first conductive pattern is electrically connected to another adjacent first conductive pattern in the same group by each connection component and each group of the second conductive patterns is interlaced with and insulated from each group of the first conductive patterns. Next, a plurality of curved insulation mounds are formed to cover the first connection components. Then, a plurality of bridge components are formed to electrically connect each second conductive pattern with another adjacent second conductive pattern in the same group.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: April 21, 2015
    Assignees: Innocom Technology (Shenzhen) Co., Ltd, Innolux Corporation
    Inventors: Chao-Sung Li, Lien-Hsin Lee, Kai Meng
  • Patent number: 9005879
    Abstract: A method for manufacturing an electrode for a display apparatus includes printing and drying a conductive paste on a substrate, and printing a glass paste on the dried conductive paste, followed by patterning.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: April 14, 2015
    Assignee: Cheil Industries, Inc.
    Inventors: Ah Reum Koo, Min Su Park, Dong Il Shin, Ryun Min Heo, Won Hee Lee, Myung Sung Jung, Chul Kyu Kim
  • Patent number: 9005871
    Abstract: Compounds of the formula (I), wherein Ar1 is for example phenylene or biphenylene both unsubstituted or substituted; Ar2 and Ar3 are for example independently of each other phenyl, naphthyl, biphenylylyl or heteroaryl, all optionally substituted; or Ar1 and Ar2 for example together with a direct bond, O, S or (CO), form a fused ring system; R is for example hydrogen, C3-C30cycloalkyl or C1-C18alkyl; and R1, R2 and R3 independently of each other are for example C1-C10haloalkyl; are effective photoacid generators (PAG).
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventors: Hitoshi Yamato, Toshikage Asakura, Yuichi Nishimae
  • Patent number: 8999626
    Abstract: The present invention relates to a polymer compound including a dye, and a curable resin composition including the same. The polymer compound including the dye according to the present invention may exhibit characteristics such as excellent heat resistance, solubility, coating uniformity, chemical resistance and the like by introducing a single molecule type dye into a side chain of a polymer binder to be modified into a polymer form and applying the modified polymer to a curable composition.
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: April 7, 2015
    Assignee: LG Chem, Ltd.
    Inventors: Jiyoung Park, Sunhwa Kim, Janghyun Ryu
  • Publication number: 20150093702
    Abstract: Self-aligned via and plug patterning for back end of line (BEOL) interconnects are described. In an example, a structure for directed self-assembly includes a substrate and a block co-polymer structure disposed above the substrate. The block co-polymer structure has a polystyrene (PS) component and a polymethyl methacrylate (PMMA) component. One of the PS component or the PMMA component is photosensitive.
    Type: Application
    Filed: September 27, 2013
    Publication date: April 2, 2015
    Inventors: Paul A. Nyhus, Eungnak Han, Swaminathan Sivakumar, Ernisse S. Putna
  • Patent number: 8993214
    Abstract: A positive photosensitive siloxane composition comprising at least three types of following polysiloxanes (A), (B) and (C) obtained by hydrolyzing and condensing a silane compound represented by general formula (1) R1nSi (OR2)4-n, a diazonaphthoquinone derivative, and a solvent: a polysiloxane (A) such that if pre-baked the film thereof will be soluble in a 5 weight % TMAH aqueous solution and the solution rate of said film will be 1,000 ?/sec or less; a polysiloxane (B) such that if pre-baked the solution rate of the film thereof will be 4,000 ?/sec or more relative to a 2.38 weight % TMAH aqueous solution; and a polysiloxane (C) such that if pre-baked the solution rate of the film thereof will be between 200 and 3,000 ?/sec relative to a 2.38 weight % TMAH aqueous solution.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: March 31, 2015
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Daishi Yokoyama, Takashi Fuke, Yuji Tashiro, Takashi Sekito, Toshiaki Nonaka
  • Patent number: 8992788
    Abstract: In conjunction with a photomask blank comprising a transparent substrate, a pattern-forming film, and an etch mask film, a set of etching conditions for the pattern-forming film is evaluated by measuring a first etching clear time (C1) taken when the etch mask film is etched under the etching conditions to be applied to the pattern-forming film, measuring a second etching clear time (C2) taken when the pattern-forming film is etched under the etching conditions, and computing a ratio (C1/C2) of the first to second etching clear time.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: March 31, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shinichi Igarashi, Hiroki Yoshikawa, Yukio Inazuki, Hideo Kaneko
  • Publication number: 20150086912
    Abstract: There is provided an actinic ray-sensitive or radiation-sensitive resin composition comprising (P) a resin having a repeating unit (A) represented by the specific formula (I) capable of generating an acid on the side chain of the resin upon irradiation with an actinic ray or radiation, and a resist film formed with the actinic ray-sensitive or radiation-sensitive resin composition, and a pattern forming method comprising: exposing the resist film, and developing the exposed resist film, and a method for manufacturing a semiconductor device, containing the pattern forming method, and a semiconductor device manufactured by the manufacturing method of the semiconductor device.
    Type: Application
    Filed: November 26, 2014
    Publication date: March 26, 2015
    Applicant: FUJIFILM CORPORATION
    Inventors: Takeshi KAWABATA, Hideaki TSUBAKI, Hiroo TAKIZAWA, Natsumi YOKOKAWA
  • Patent number: 8988732
    Abstract: In the image processing apparatus, image data is divided into large blocks of a prescribed size and the large blocks are subdivided into small blocks by the dividing unit. The number of isolated points in each large block is then calculated by the large block isolated point calculation unit, and the number of isolated points in each small block is then calculated by the small block isolated point calculation units. It is then determined by the halftone-dot region determination unit whether or not the large block is a halftone-dot region. This determination considers both the number of isolated points in the large block and the number of isolated points in each small block.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: March 24, 2015
    Assignee: Konica Minolta Business Technologies, Inc.
    Inventors: Tomohiro Yamaguchi, Yoshihiko Hirota
  • Publication number: 20150079523
    Abstract: Synthesizing polymeric sheets in a slit fluidic channel by projection of a pulse of illumination to the channel. A slit channel can include a polymeric device with a plane's width larger than 1 mm. A glass plate is placed above the channel to prevent the channel from sagging. A photocurable prepolymer is flowed through the channel. The flow is paused and an illumination is projected to the channel through a photomask, produces a polymer sheet. The polymer sheet is then flushed out by resuming the flow. This process is repeated enabling continuous synthesis of polymeric sheets. The sheets can obtain any patterns defined by the photomask design, such as micropores and other geometrical patterns. These polymer sheets can be used in many emerging areas of technologies such as lab-on-a-chip, tissue engineering and organic electronics.
    Type: Application
    Filed: September 12, 2014
    Publication date: March 19, 2015
    Inventors: Minggan LI, Dae Kun HWANG, Janusz KOZINSKI
  • Patent number: 8980531
    Abstract: A transparent component comprises a substrate (1) having an interface surface, with a pattern of electrically conductive copper (2) disposed on the interface surface with of the substrate, wherein the copper has a copper sulfide surface coating (3). It is found that copper with a suitably thin coating layer of copper sulfide has reduced visibility compared with uncoated copper, so that the metal pattern is less distracting to a viewer. The component finds application as part of a touch-sensitive display, with the substrate overlying or forming part of the display, with images on the display being visible to a user through the transparent component.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: March 17, 2015
    Assignee: Conductive Inkjet Technology Limited
    Inventor: Philip Gareth Bentley
  • Publication number: 20150068790
    Abstract: A touch panel has an active area and a non-active area disposed at an outer side of the active area defined therein. The touch panel includes a support member and a conductive layer formed on the support member and including an electrode part in the active area to sense touch and a wiring part disposed in the non-active area to be connected to the electrode part. In the non-active area, the wiring part is disposed on the support member and the electrode part is partially disposed on the wiring part.
    Type: Application
    Filed: September 8, 2014
    Publication date: March 12, 2015
    Inventors: Minchul KIM, Munsu LEE
  • Publication number: 20150064628
    Abstract: Methods of micro- and nano-patterning substrates to form transparent conductive electrode structures or polarizers by continuous near-field optical nanolithography methods using a roll-type photomask or phase-shift mask are provided. In such methods, a near-field optical nanolithography technique uses a phase-shift or photo-mask roller that comprises a rigid patterned externally exposed surface that transfers a pattern to an underlying substrate. The roller device may have an internally disposed radiation source that generates radiation that passes through the rigid patterned surface to the substrate during the patterning process. Sub-wavelength resolution is achieved using near-field exposure of photoresist material through the cylindrical rigid phase-mask, allowing dynamic and high throughput continuous patterning.
    Type: Application
    Filed: April 15, 2013
    Publication date: March 5, 2015
    Applicant: THE REGENTS OF THE UNIVERSITY OF MICHIGAN
    Inventor: Lingjie Jay Guo
  • Patent number: 8968986
    Abstract: An electrochemical cell, membrane, and method for making the membrane and electrochemical cell are disclosed in which ion passage channels of the membrane have advantageous characteristics relating to dimensions, positioning, and patterning. The ion passage channels are formed by selected means of radiation, many of which require post-radiation manipulation.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: March 3, 2015
    Assignee: U.S. Photonics, Inc.
    Inventors: Jacob Conner, Saibal Mitra
  • Publication number: 20150054142
    Abstract: Hydroxyl moieties are formed on a surface over a semiconductor substrate. The surfaces are silylized to replace the hydroxyl groups with silyl ether groups, the silyl ether groups being of the form: —OSiR1R2R3, where R1, R2, and R3 are each hydrocarbyl groups comprising at least one carbon atom. Silylation protects the wafers from forming defects through hydrolysis while the wafers are being transported or stored under ambient conditions.
    Type: Application
    Filed: August 23, 2013
    Publication date: February 26, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsaio-Chen Wu, Fang Lin
  • Publication number: 20150050473
    Abstract: A resin composition, a printed circuit board using the composition, and a method of manufacturing the printed circuit board. The resin composition includes: a photopolymerizable compound, such as one having an ethylenically unsaturated bond which is polymerizable in a molecule, a photoinitiator, and a surface-modified silica by an alkyl sulfonated tetrazole compound.
    Type: Application
    Filed: July 29, 2014
    Publication date: February 19, 2015
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Young Kwan SEO, Jun Young KIM
  • Publication number: 20150050597
    Abstract: A passivation layer solution composition is provided A passivation layer solution composition according to an exemplary embodiment of the present invention includes an organic siloxane resin represented by Chemical Formula 1 below. In Chemical Formula 1, R is at least one substituent selected from a saturated hydrocarbon or an unsaturated hydrocarbon having from 1 to about 25 carbon atoms, and x and y may each independently be from 1 to about 200, and wherein each wavy line indicates a bond to an H atom or to an x siloxane unit or a y siloxane unit, or a bond to an x siloxane unit or a y siloxane unit of another siloxane chain comprising x siloxane units or y siloxane units or a combination thereof.
    Type: Application
    Filed: October 28, 2014
    Publication date: February 19, 2015
    Inventors: Byung Du Ahn, Seung Ho Yeon, Sei-Yong Park, Mi-Hyae Park, Bu Sop Song, Tae Gweon Lee, Jun Hyun Park, Je Hun Lee, Jae Woo Park
  • Publication number: 20150044790
    Abstract: A negative photosensitive resin composition including an alkali-soluble resin (A), a photoacid generator (B), a basic compound (C), a cross-linking agent (D), and a solvent (E) is provided. The alkali-soluble resin (A) includes an acrylate resin (A-1) and a novolac resin (A-2). The acrylate resin (A-1) is synthesized by polymerizing a monomer for polymerization, wherein the monomer for polymerization includes an unsaturated carboxylic acid or unsaturated carboxylic acid anhydride monomer (a-1-1) and a monomer (a-1-2). The monomer (a-1-2) includes a compound (a-1-2-1) with a tricyclodecane or dicyclopentadiene structure, a compound (a-1-2-2) represented by formula (1), or a combination of both. The novolac resin (A-2) is synthesized by polymerizing an aldehyde compound with an aromatic hydroxy compound, wherein the aromatic hydroxy compound includes a xylenol compound.
    Type: Application
    Filed: August 4, 2014
    Publication date: February 12, 2015
    Inventor: Yu-Jie Tsai
  • Patent number: 8951083
    Abstract: The present invention relates to an organic light emitting device and a manufacturing method thereof. The present invention discloses an organic light emitting device including: a plurality of scanning signal lines; a first and second contact assistant; a plurality of data lines crossing the scanning signal lines; a driving voltage line; and a first pixel, a second pixel, and a third pixel alternately arranged, wherein each pixel includes: a switching transistor, a driving transistor including an output terminal, a pixel electrode connected to the output terminal, the pixel electrode including at least two layers including a transflective electrode, an organic light emitting member arranged on the pixel electrode, and a common electrode arranged on the organic light emitting member, wherein the first pixel further includes a supplementary member arranged on the pixel electrode, and wherein the first and second contact assistants include the same material as the supplementary member.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: February 10, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Min-Hyuk Choi, Chun-Gi You, Jong-Hyun Park, Young-Dong Kwon, Jin-Hee Kang
  • Patent number: 8951425
    Abstract: A method of forming at least one curved plate having first and second layers, the first layer being formed of a first material and the second layer being formed of a second material, the method including forming one or more blocks of a fusible material on a surface of a substrate; baking the one or more blocks to deform their shape; and depositing the first and second materials over the one or more deformed blocks to form the first and second layers.
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: February 10, 2015
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Stéphane Monfray, Thomas Skotnicki, Onoriu Puscasu, Christophe Maitre
  • Publication number: 20150030981
    Abstract: A photosensitive composition comprises a fluorinated solvent, a photo-acid generator and a copolymer. The copolymer comprises at least three distinct repeating units, including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid-catalyzed cross-linkable group, and a third repeating unit having a sensitizing dye. The composition is useful in the fabrication of electronic devices, especially organic electronic and bioelectronic devices.
    Type: Application
    Filed: July 18, 2014
    Publication date: January 29, 2015
    Inventors: Douglas Robert Robello, Charles Warren Wright
  • Publication number: 20150024305
    Abstract: Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A removing process is provided to form an absorber with a top surface lower than a top surface of the capping layer.
    Type: Application
    Filed: July 19, 2013
    Publication date: January 22, 2015
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Publication number: 20150015801
    Abstract: Disclosed herein is a touch panel including: a transparent substrate divided into an active region and a non-active area that is a boundary of the active region; and a bezel portion formed in the non-active region of one surface of the transparent substrate, wherein the bezel portion is formed by exposing and developing a silver halide emulsion layer, thereby reducing a step difference of the bezel portion.
    Type: Application
    Filed: September 9, 2013
    Publication date: January 15, 2015
    Applicant: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Jae Hun Kim, Sang Su Hong, In Hyun Jang
  • Publication number: 20150016072
    Abstract: The present invention provides a dry film capable of forming a cured coating film having an excellent laser processability and a desmear resistance, and a printed writing board using the same. Further, the present invention provides a method of producing a printed writing board for a flip-chip mounting substrate capable of simply and inexpensively forming a dam preventing from spreading an underfill, a printed writing board obtained by the method of producing, and a flip-chip mounting substrate in which a chip is subjected to flip chip mounting on the printed writing board. A dry film comprising: a carrier film and a photocurable resin composition layer (L1) formed by applying and drying a photocurable resin composition; and at least a thermosetting resin composition layer (L2) formed by applying and drying a thermosetting resin composition in a gap between the photocurable resin composition layer (L1) and the carrier film.
    Type: Application
    Filed: December 18, 2012
    Publication date: January 15, 2015
    Applicant: TAIYO INK MFG. CO., LTD.
    Inventors: Gento Iwayama, Arata Endo, Shoji Minegishi, Masao Arima
  • Publication number: 20150017571
    Abstract: Provided is an integrated circuit (IC) photo mask. The IC photo mask includes a main feature of the IC, the main feature having a plurality of sides, and a plurality of assist features, the assist features being spaced from each other and spaced from the main feature, wherein each one of the assist features is adjacent to one of the sides, each one of the assist features has an elongated shape along a direction, whereby extending the shape in the direction would intersect at least another one of the assist features and the assist features are sub-resolution correction features for correcting for optical proximity effect in a photolithography process.
    Type: Application
    Filed: July 12, 2013
    Publication date: January 15, 2015
    Inventors: Chia-Cheng CHANG, Wei-Kuan Yu, Yen-Hsu Chu, Tsai-Ming Huang, Chin-Min Huang, Cherng-Shyan Tsay, Chien Wen Lai, Hua-Tai Lin
  • Publication number: 20150015813
    Abstract: A black resin film is produced by applying a photosensitive resin composition containing a black pigment, an alkali-soluble polymer compound, an ethylenic unsaturated bond-containing compound and ?-aminoalkylphenone or ?-hydroxyalkylphenone as a photopolymerization initiator, to a substrate; and subjecting the composition to exposure, development and post-exposure. The post-exposure is performed from both side with 1,300 mJ/cm2 or more in terms of i line.
    Type: Application
    Filed: September 29, 2014
    Publication date: January 15, 2015
    Applicant: FUJIFILM CORPORATION
    Inventors: Shinichi YOSHINARI, Hitoshi NAMIKAWA
  • Publication number: 20150000968
    Abstract: In a wiring board, on an insulating layer of an outermost layer, there are provided a plurality of strip-shaped wiring conductors which are partially provided with semiconductor element connection pads to which electrode terminals of a semiconductor element are connected, at positions which prevent the semiconductor element connection pads adjacent to each other from being laterally arranged, and a solder resist layer having openings for individually exposing the semiconductor element connection pads is adhered on the insulating board as the outermost layer and on the strip-shaped wiring conductors, wherein the solder resist layer internally contains an insulating filler, and the insulating filler is sunk below the upper surfaces of the strip-shaped wiring conductors.
    Type: Application
    Filed: June 23, 2014
    Publication date: January 1, 2015
    Applicant: KYOCERA SLC Technologies Corporation
    Inventors: Hirofumi ISHIBASHI, Masanori TADA
  • Publication number: 20140377704
    Abstract: In the method for forming a protective coat on an electrode for a touch panel according to the invention, a photosensitive layer comprising a photosensitive resin composition containing a binder polymer having a carboxyl group and an acid value of 30 to 120 mgKOH/g, a photopolymerizable compound having at least three ethylenic unsaturated groups, and a photopolymerization initiator, is formed on a base material having an electrode for a touch panel, prescribed sections of the photosensitive layer are cured by irradiation with active light rays and then the sections other than the prescribed sections are removed, to form a protective coat comprising the cured sections of the photosensitive resin composition covering all or a portion of the electrode.
    Type: Application
    Filed: December 4, 2012
    Publication date: December 25, 2014
    Inventors: Ikuo Mukai, Yasuharu Murakami, Naoki Sasahara, Hiroshi Yamazaki
  • Publication number: 20140370424
    Abstract: An object of the present invention is to provide a substrate with a multilayer reflective film and the like used in the manufacturing of a reflective mask blank for EUV lithography which is to be subjected to dry etching with a Cl-based gas, wherein in the substrate with the multilayer reflective film, the loss of protective films by the dry etching and subsequent wet cleaning is very limited. The present invention is a substrate with a multilayer reflective film used in the manufacturing of a reflective mask blank for EUV lithography, comprising a substrate, a multilayer reflective film disposed on the substrate to reflect EUV light, and a protective film disposed on the multilayer reflective film to protect the multilayer reflective film, the protective film includes an alloy containing at least two metals, the alloy being an all-proportional solid solution.
    Type: Application
    Filed: March 21, 2013
    Publication date: December 18, 2014
    Applicant: HOYA CORPORATION
    Inventors: Takahiro Onoue, Toshihiko Orihara
  • Publication number: 20140370446
    Abstract: The present invention provides a composition for developing a photoresist containing a carboxyl group (—COOH) and a method of developing a photoresist using the composition. The composition includes: a first solution including a salt containing a monovalent cationic component; and a second solution including a salt containing a bivalent cationic component. The composition for photoresist development is advantageous in that the developing depth of a photoresist can be controlled, and the developed surface of a photoresist is flat, thereby enabling the photoresist to be developed to realize precise three-dimensional packaging.
    Type: Application
    Filed: February 4, 2014
    Publication date: December 18, 2014
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Chang Bo Lee, Chang Sup Ryu, Dae Jo Hong, Hyo Seung Nam