Named Electrical Device Patents (Class 430/319)
  • Publication number: 20140170566
    Abstract: The present invention relates to customizing individual workpieces, such as chip, flat panels or other electronic devices produced on substrates, by direct writing a custom pattern. Customization can be per device, per substrate, per batch or at some other small volume that makes it impractical to use a custom mask or mask set. In particular, it relates to customizing a latent image formed in a patterning sensitive layer over a substrate, merging standard and custom pattern data to form a custom pattern used to produce the customized latent image. A wide variety of substrates can benefit from the technology disclosed.
    Type: Application
    Filed: September 16, 2013
    Publication date: June 19, 2014
    Applicant: Micronic MyData AB
    Inventors: Lars Ivansen, Anders Osterberg
  • Publication number: 20140162179
    Abstract: A method for manufacturing an electrode for a display apparatus includes printing and drying a conductive paste on a substrate, and printing a glass paste on the dried conductive paste, followed by patterning.
    Type: Application
    Filed: December 5, 2013
    Publication date: June 12, 2014
    Inventors: Ah Reum KOO, Min Su PARK, Dong II SHIN, Ryun Min HEO, Won Hee LEE, Myung Sung JUNG, Chul Kyu KIM
  • Publication number: 20140162176
    Abstract: A mask is disclosed which includes a plurality of first phase shift regions disposed on a first side of the mask, and a plurality of second phase shift regions disposed on a second side of the mask. The first phase shift regions and second phase shift regions may be alternating phase shift regions in which phase shift of the first phase shift regions is out of phase, for instance by 180 degrees, from phase shift of the second phase shift regions. A method for forming the mask, and a semiconductor device fabrication method using the mask is also disclosed.
    Type: Application
    Filed: December 11, 2012
    Publication date: June 12, 2014
    Applicant: GLOBALFOUNDRIES, INC.
    Inventors: Guoxiang NING, Chunyu WONG, Paul ACKMANN, Sarasvathi THANGARAJU
  • Publication number: 20140160373
    Abstract: A capacitive touch panel includes at least one first conductive series extending along a first direction and at least one second conductive series extending along a second direction on a substrate. The first conductive series includes a plurality of first electrodes disposed along the first direction and a plurality of first connecting electrodes respectively disposed between two adjacent first electrodes. The second conductive series includes a plurality of second electrodes disposed along the second direction and a plurality of second connecting electrodes respectively disposed between two adjacent second electrodes. The first direction intersects the second direction. At least one kind of elements of the first electrodes, the first connecting electrodes, the second electrodes, and the second connecting electrodes are formed from a metal mash layer, and the first conductive series and the second conductive series are electrically isolated from each other.
    Type: Application
    Filed: December 6, 2013
    Publication date: June 12, 2014
    Applicant: WINTEK CORPORATION
    Inventors: Chang-Hsuan Hsu, Wen-Chun Wang, Cheng-Yi Chou, Chong-Wei Li, Ching-Fu Hsu, Chih-Yuan Wang
  • Publication number: 20140151089
    Abstract: Disclosed herein are a printed circuit board, including: a substrate having a patterned circuit layer on one surface thereof; and a resist pattern having a pattern corresponding to the circuit layer and covering the circuit layer so as to close the circuit layer, wherein a width of the resist pattern covering an upper portion of the circuit layer is the same as that of the resist pattern covering a lower portion of the circuit layer, and a method for manufacturing the same.
    Type: Application
    Filed: March 14, 2013
    Publication date: June 5, 2014
    Applicant: Samsung Electro-Mechanics Co., LTD.
    Inventors: Woon Chul CHOI, Hwan Soo Lee, Hye Yeon Cha
  • Publication number: 20140147076
    Abstract: An opto-electric hybrid board includes: an electric circuit board including an insulative layer, and an element mounting electrode formed on the front surface of the insulative layer; an optical element mounted on the element mounting electrode by contact frictional heat; and an optical waveguide including a first cladding layer in contact with the back surface of the insulative layer of the electric circuit board. Between the insulative layer and the first cladding layer, a reinforcing layer is provided at the portion corresponding to the element mounting electrode. A reinforcing layer is provided at the portion corresponding to the element mounting electrode, in the surface of the first cladding layer, which is on the side opposite to the insulative layer. The resin-made reinforcing layer is greater than the first cladding layer in storage modulus at the temperature of the board when the element is being mounted.
    Type: Application
    Filed: October 3, 2013
    Publication date: May 29, 2014
    Applicant: NITTO DENKO CORPORATION
    Inventors: Yuichi Tsujita, Toshikazu Baba, Shotaro Masuda
  • Publication number: 20140147976
    Abstract: An exposure mask includes a first transmission portion, a second transmission portion, and a blocking portion. The first transmission portion is configured to, when illuminated with light, transmit the light at a first energy level. The first transmission portion is disposed in association with formation of a first contact hole in an underlying layer. The second transmission portion is configured to, when illuminated with the light, transmit the light at a second energy level. The second transmission portion is disposed in association with formation of a second contact hole in the underlying layer. The blocking portion is configured to block the light, and is disposed in association with a boundary region between a first region and a second region of the underlying layer. The second transmission portion is further configured to enable the second contact hole to be formed deeper into the underlying layer than the first contact hole.
    Type: Application
    Filed: March 15, 2013
    Publication date: May 29, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Seung-Bo SHIM, Jun-Gi Kim, Yong-Jun Park, Yang-Ho Jung, Jin-Ho Ju
  • Patent number: 8722313
    Abstract: A method of manufacturing a touch screen panel includes a first process, a second process, and a third process. Each of a plurality of first electrode serials includes a plurality of first electrode patterns which are separated from each other, neighboring first electrode patterns are electrically connected to each other via a first connection pattern, and a first insulation pattern electrically insulates the first electrode serial from the second electrode serial at an intersection of the first electrode serial and the second electrode serial.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: May 13, 2014
    Assignee: LG Display Co., Ltd.
    Inventor: Seungmok Shin
  • Publication number: 20140118009
    Abstract: A capacitive sensing component includes a substrate and a patterned sensing layer formed on the substrate; the patterned sensing layer includes a plurality of sensing electrodes, the plurality of sensing electrodes are formed of a metal mesh laying on the substrate. The cost of the capacitive sensing component is low. A preparation method of a capacitive sensing component and a touch screen are also provided.
    Type: Application
    Filed: December 20, 2012
    Publication date: May 1, 2014
    Applicant: SHENZHEN O-FILM TECH CO., LTD.
    Inventors: Zhizheng Cheng, Kai Meng, Rongjun Cai
  • Publication number: 20140118656
    Abstract: A liquid crystal display includes a transparent insulation substrate, a first polarizer, and a semiconductor layer, a thin film transistor, and a backlight unit. The first polarizer is disposed on the transparent insulation substrate. The first polarizer includes a light blocking film and metal wires. The semiconductor layer, disposed on the light blocking film, has a perimeter aligned with a perimeter of the light blocking film. The thin film transistor, disposed on the semiconductor layer, includes a source region and a drain region disposed in the semiconductor layer. The backlight unit, disposed under the transparent insulation substrate, provides light to the transparent insulation substrate. The blocking film reflects substantially all of the light. Gaps are disposed between the metal wires.
    Type: Application
    Filed: March 15, 2013
    Publication date: May 1, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Dae Hwan Jang, Sang Ho Park, Dong Jo Kim, Jung Gun Nam, Dae-Young Lee, Gug Rae Jo
  • Publication number: 20140113386
    Abstract: Embodiments of the disclosure are directed to a device for molecule sensing. In some embodiments, the device includes a first electrode separated from a second electrode by a dielectric layer. The first electrode comprises a large area electrode and the second electrode comprises a small area electrode. At least one opening (e.g., trench) cut or otherwise created into the dielectric layer exposes a tunnel junction therebetween whereby target molecules in solution can bind across the tunnel junction.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 24, 2014
    Applicant: ARIZONA BOARD OF REGENTS, acting for and on behalf of ARIZONA STATE UNIVERSITY
    Inventors: Brett Gyarfas, Stuart Lindsay, Pei Pang
  • Publication number: 20140111194
    Abstract: A magnetoresistive sensing device includes a substrate, a magnetoresistive sensing element, a circuitry element and a shielding unit. The magnetoresistive sensing element, the circuitry element and the shielding unit are disposed at the same side of the substrate. The shielding unit is between the magnetoresistive sensing element and the circuitry element. The shielding unit comprises at least one magnetic material.
    Type: Application
    Filed: December 14, 2012
    Publication date: April 24, 2014
    Applicant: Voltafield Technology Corp.
    Inventors: Fu-Tai Liou, Nai-Chung Fu
  • Publication number: 20140113220
    Abstract: An apparatus and method for lithography patterning is disclosed. An exemplary method includes receiving a first mask. The method further includes receiving a defect map, the defect map identifying a defect region of a defect of the first mask. The method further includes preparing processing data, the processing data including pattern data of a semiconductor device and data associated with the defect region. The method further includes processing the first mask according to the processing data thereby forming a first portion of a pattern of the semiconductor device on the first mask, the first portion of the pattern excluding the defect region.
    Type: Application
    Filed: October 23, 2012
    Publication date: April 24, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, LTD
    Inventor: Yuan-Chih Chu
  • Patent number: 8703397
    Abstract: A method for fabricating a side shield for a magnetic transducer is described. The magnetic transducer has a nonmagnetic layer and a pole on the nonmagnetic layer. The pole has sidewalls and an air-bearing surface location (ABS location) corresponding to an air-bearing surface (ABS). A developable bottom antireflective coating (D-BARC) layer covering the pole and at least a portion of the nonmagnetic layer is provided. The D-BARC layer is photosensitive. A photosensitive mask layer is provided on the D-BARC layer. A first portion of the mask layer and a first portion of the D-BARC layer are removed to form a bi-layer mask. The bi-layer mask has an aperture in the mask layer and the D-BARC layer. At least one side shield layer is deposited. At least a portion of the at least one side shield layer resides in the aperture. The bi-layer mask is also removed.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: April 22, 2014
    Assignee: Western Digital (Fremont), LLC
    Inventors: Xianzhong Zeng, Hai Sun
  • Publication number: 20140104672
    Abstract: An electrowetting display device comprising a first support plate, a second support plate and a picture element having a space defined by the first support plate, the second support plate and walls of the first support plate. The space comprises a first fluid and a second fluid immiscible with each other, the second fluid being electroconductive or polar and the walls confining the first fluid to the space. The picture element is electrically switchable between a first state without an electric field applied and a second state with an electric field applied, in which in the first state the first fluid is spread out in the picture element and in the second state the first fluid is contracted near one of the walls. Two of the walls have a side facing the space, the sides having different angles with a plane of the first support plate.
    Type: Application
    Filed: December 19, 2013
    Publication date: April 17, 2014
    Applicant: Liquavista B.V.
    Inventor: Daniel Figura
  • Publication number: 20140104227
    Abstract: Disclosed herein are a touch panel and a method for manufacturing the same, the touch panel including: a transparent substrate; a photosensitive ink layer patterned on the transparent substrate and having electric conductivity; and electrode patterns formed at corresponding positions on the patterned photosensitive ink layer, and the method including: preparing a transparent substrate; coating a photosensitive ink having electric conductivity on the transparent substrate to form a photosensitive ink layer; patterning the photosensitive ink layer; and forming electrode patterns on the patterned photosensitive ink layer.
    Type: Application
    Filed: February 1, 2013
    Publication date: April 17, 2014
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Tae Hoon Kim, Seung Min Lee, Youn Soo Kim, Ho Joon Park, Jae Chan Park
  • Patent number: 8697318
    Abstract: A method of manufacturing a photomask includes forming a multi-layer on a substrate and a blank layer on the multi-layer, patterning the blank layer to form openings exposing the multi-layer on a projection region of the substrate, and irradiating at least a portion of the multi-layer exposed by the openings with pulses of light output by a pulse laser whose pulse width is substantially greater than 0.001 seconds. Thus, the photomask has a reflective layer that includes a low-reflectance part corresponding to that part of the multi-layer irradiated by the light output by the pulse laser.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: April 15, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jongju Park, Sang Hyun Kim, Donggun Lee
  • Patent number: 8685627
    Abstract: A method for manufacturing a semiconductor device includes forming an etch-target layer over a semiconductor substrate having a lower structure, forming a first mask pattern over the etch-target layer, forming a spacer material layer with a uniform thickness over the etch-target layer including the first mask pattern, forming a second mask pattern on an indented region of the space material layer, and etching the etch-target layer with the first mask pattern and the second mask pattern as an etch mask to form a fine pattern.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: April 1, 2014
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki Lyoung Lee, Cheol Kyu Bok, Keum Do Ban, Jung Gun Heo
  • Publication number: 20140087312
    Abstract: Disclosed is a method of manufacturing an organic light emitting display device. The method include forming a driving thin film transistor and passivation layer on a substrate, forming a bank layer at a boundary portion between adjacent sub-pixels, on the passivation layer, laminating a first photoresist film on the bank layer, forming a first photoresist pattern by irradiating IR light on the first photoresist film in an area except a first sub-pixel, depositing a first organic emission layer in the first sub-pixel area exposed by the first photoresist pattern, removing the first photoresist pattern, laminating a second photoresist film on the bank layer, forming a second photoresist pattern by irradiating IR light on the second photoresist film in an area except a second sub-pixel, depositing a second organic emission layer in the second sub-pixel area exposed by the second photoresist pattern, and removing the second photoresist pattern.
    Type: Application
    Filed: December 26, 2012
    Publication date: March 27, 2014
    Applicant: LG DISPLAY CO., LTD.
    Inventors: Wy-Yong KIM, Byung Chul AHN, Gee Sung CHAE, Jin Wuk KIM, Yoon Heung TAK
  • Publication number: 20140087619
    Abstract: The present invention provides a photosensitive resin composition which can form an excellently light-resistant partition wall of an image display device and has excellent patterning properties. One embodiment of the present invention is a photosensitive resin composition for forming a partition wall of an image display device, comprising: (A) a binder polymer; (B) a photopolymerizable compound; (C) a photopolymerization initiator; (D) an inorganic black pigment; (E) a surfactant; and (F) a mercapto group-containing compound, wherein the (B) photopolymerizable compound contains a photopolymerizable compound having at least one unsaturated group and an isocyanuric ring structure in a molecule.
    Type: Application
    Filed: March 20, 2012
    Publication date: March 27, 2014
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Yasuhiro Seri, Mayumi Sato
  • Patent number: 8679730
    Abstract: The invention relates azide functionalized poly(3-hexylthiophene)s. Various azide functionalized poly(3-hexylthiophene)s and intermediates are disclosed and described, as well as method for making novel monomers that are synthesized and transformed into P3HT-Nmp for use as organic conducting polymers in organic photovoltaic devices.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: March 25, 2014
    Assignee: Brookhaven Science Associates, LLC
    Inventors: Yang Qin, Robert B. Grubbs, Young Suk Park
  • Patent number: 8679729
    Abstract: Disclosed is a method for forming patterns of a semiconductor device, which includes: arranging dense patterns to be transferred in a dense pattern region of a wafer; inserting a first dummy pattern for restricting pattern distortion of the dense patterns in an outside of the array of the dense patterns; inserting a first assist feature for restricting pattern distortion of the first dummy pattern in an inside of the first dummy pattern; inserting an array of second assist features for additionally restricting pattern distortion of the first dummy pattern in an outside of the first dummy pattern, thereto designing a pattern layout to be transferred onto the wafer; and forming an array of the dense patterns and the first dummy patterns by transferring the pattern layout onto the wafer through an exposure.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: March 25, 2014
    Assignee: SK hynix Inc.
    Inventor: Jeon Kyu Lee
  • Patent number: 8679731
    Abstract: A semiconductor device manufacturing method includes applying illumination light to a photomask, and projecting diffracted light components from the photomask via a projection optical system to form a photoresist pattern on a substrate. The photomask includes a plurality of opening patterns which are arranged on each of a plurality of parallel lines at regular second intervals in a second direction and which have regular first intervals in a first direction perpendicular to the second direction. The plurality of opening patterns arranged on the adjacent ones of the plurality of parallel lines are displaced from each other half the second interval in the second direction. Moreover, the dimensions of the plurality of opening patterns and the complex amplitude transmittance of nontransparent region in the photomask are set so that three of the diffracted light components passing through the pupil of the projection optical system have equal amplitude.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: March 25, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuya Fukuhara, Takaki Hashimoto, Kazuyuki Masukawa, Yasunobu Kai
  • Publication number: 20140080067
    Abstract: A method of fabricating a semiconductor integrated circuit (IC) is disclosed. The method includes providing a substrate having two different topography areas adjacent to each other. A step-forming material (SFM) is deposited over the substrate. A patterned SFM is formed in the low topography area of the two areas. The formation of the patterned SFM provides a fairly planar surface across over the substrate.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 20, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Chang Chen, Shun-Shing Yang, Chuan-Ling Wu, Wang-Pen Mo, Hung-Chang Hsieh
  • Publication number: 20140080068
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer.
    Type: Application
    Filed: November 19, 2013
    Publication date: March 20, 2014
    Applicant: FUJIFILM Corporation
    Inventor: Hideaki TSUBAKI
  • Patent number: 8673545
    Abstract: In a method of manufacturing a liquid crystal display device in which a plurality of pixels are arranged in a matrix, each of the pixels has an insulator wall structure at a boundary of the pixels, and a wall electrode is provided at least at a side of the wall structure, the wall structure being formed by: using a chemically amplified resist as a material of the wall structure, a step of applying the chemically amplified resist; a step of exposing and developing the chemically amplified resist; a step of irradiating light on an entire surface to perform post exposure; a step of pre-calcinating the chemically amplified resist at a temperature lower than a main calcination temperature; and a step of performing main calcination at a temperature higher than a pre-calcination temperature.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 18, 2014
    Assignee: Japan Display East Inc.
    Inventors: Toshimasa Ishigaki, Daisuke Sonoda, Masanao Yamamoto, Osamu Itou, Takato Hiratsuka
  • Publication number: 20140065553
    Abstract: An apparatus of semiconductor process including a chuck and a vacuum source is provided. The chuck has a plurality of holes for holding a semiconductor substrate, and the vacuum source is used for providing vacuum suction through the holes to make the semiconductor substrate be subjected to varied suction intensities according to a warpage level thereof.
    Type: Application
    Filed: August 31, 2012
    Publication date: March 6, 2014
    Applicant: UNITED MICROELECTRONICS CORPORATION
    Inventors: Chung-Sung JANG, Ming-Tse LIN, Yung-Chang LIN
  • Publication number: 20140065555
    Abstract: According to one embodiment, a manufacturing method includes forming a desired pattern containing an uneven pattern on a substrate, subjecting the surface of the desired pattern to a water repellent treatment, forming a resist film on the desired pattern, performing an exposure treatment to expose the uneven pattern, rinsing the substrate with water, and drying the substrate.
    Type: Application
    Filed: March 6, 2013
    Publication date: March 6, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yasuhito YOSHIMIZU, Hiroshi TOMITA, Hisashi OKUCHI
  • Publication number: 20140065524
    Abstract: A semiconductor device includes a cell mask pattern disposed in a cell region of a mask substrate and a vernier mask pattern disposed in a vernier region of the mask substrate. The vernier mask pattern includes a variable mask pattern portion to transfer a different shape of pattern depending on the magnitude of exposure energy.
    Type: Application
    Filed: March 18, 2013
    Publication date: March 6, 2014
    Applicant: SK HYNIX INC.
    Inventors: Byoung Hoon LEE, Chang Moon LIM, Myoung Soo KIM, Jeong Su PARK, Jun Taek PARK, In Hwan LEE
  • Publication number: 20140065554
    Abstract: The present disclosure involves a method of fabricating a semiconductor device. The method includes providing a substrate having a material layer formed thereon; depositing a photoresist layer on the material layer, the photoresist layer having a vertical dimension; exposing a region of the photoresist layer to radiation, the exposed region having a horizontal dimension, wherein a first ratio of the vertical dimension to the horizontal dimension exceeds a predetermined ratio; and developing the photoresist layer to remove the exposed region at least in part through applying a developer solution containing a first chemical and a second chemical, wherein: the first chemical is configured to dissolve the exposed region of the photoresist layer through a chemical reaction; the second chemical is configured to enhance flow of the first chemical that comes into contact with the photoresist layer; and an optimized second ratio exists between the first chemical and the second chemical.
    Type: Application
    Filed: September 4, 2012
    Publication date: March 6, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lun Liu, Chia-Chu Liu, Kuei-Shun Chen, Chung-Ming Wang, Ying-Hao Su
  • Publication number: 20140057199
    Abstract: A photomask blank for producing a photomask to which an ArF excimer laser light is applied. The blank includes a light transmissive substrate on which a thin film having a multilayer structure is provided. The thin film has a light-shielding film in which a back-surface antireflection layer, a light-shielding layer and a front-surface antireflection layer are laminated in this order. The light-shielding layer comprises chromium and nitrogen, and the chromium content is more than 50 atomic %. The front-surface antireflection layer and the back-surface antireflection layer each has an amorphous structure made of a material comprising chromium, nitrogen, oxygen and carbon. The chromium content ratio of the front-surface antireflection layer and the back-surface antireflection layer is 40 atomic % or less. A first sum of nitrogen content and oxygen content of the back-surface antireflection layer is less than a second sum of nitrogen content and oxygen content of the front-surface antireflection layer.
    Type: Application
    Filed: July 17, 2013
    Publication date: February 27, 2014
    Applicant: HOYA CORPORATION
    Inventors: Hiroyuki IWASHITA, Hiroaki Shishido, Atsushi Kominato, Masahiro Hashimoto, Morio Hosoya
  • Publication number: 20140041902
    Abstract: Disclosed herein is a printed circuit board, including: a base substrate on which a circuit layer is formed; and multi-layer insulating layers formed in a plurality of layers on the base substrate, including the circuit layer, each of the plurality of layers being formed to have a step structure, wherein the multi-layer insulating layer is formed of heterogeneous materials.
    Type: Application
    Filed: November 20, 2012
    Publication date: February 13, 2014
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Jeong Woo Lee, Going Sik Kim
  • Publication number: 20140045125
    Abstract: Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate.
    Type: Application
    Filed: October 17, 2013
    Publication date: February 13, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Luan C. Tran
  • Publication number: 20140045124
    Abstract: A method of manufacturing a semiconductor device includes: forming an etching mask layer on a semiconductor substrate having an etching target layer, patterning the etching mask layer to form a plurality of etching mask patterns, and forming a subsidiary layer surrounding the etching mask patterns having a uniform critical dimension and gap to form hard mask patterns including the subsidiary layer and the etching mask patterns.
    Type: Application
    Filed: December 14, 2012
    Publication date: February 13, 2014
    Applicant: SK hynix Inc.
    Inventor: Chang Ki PARK
  • Publication number: 20140041909
    Abstract: A method for reducing roughens of the metals on a ceramic substrate having metal filled via holes, comprising forming via holes, a seed layer, and through film coating, exposure and development process followed by multiple steps of DC electroplating to achieve copper circuit with desired surface roughness.
    Type: Application
    Filed: July 17, 2013
    Publication date: February 13, 2014
    Inventors: Hsiang-Wei TSENG, Kuan-Chou Chen, Han-Chung Chang, Cheng-Feng Chou, Chan-Li Lin, Yuan-Chen Hsu
  • Publication number: 20140034359
    Abstract: Disclosed herein is a printed circuit board including a base substrate, a photosensitive insulating layer formed on an upper portion of the base substrate, and a circuit pattern formed to be buried within the photosensitive insulating film.
    Type: Application
    Filed: August 2, 2013
    Publication date: February 6, 2014
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Jeong Woo Lee, Going Sik Kim
  • Publication number: 20140038109
    Abstract: The invention relates to an antireflective coating composition comprising a crosslinkable polymer, where the crosslinkable polymer comprises at least one unit of fused aromatic moiety, at least one unit with a phenylene moiety in the backbone of the polymer, and at least one hydroxybiphenyl unit, furthermore where the polymer comprises a crosslinking moiety of structure (4), where R3, R?3 and R??3 are independently hydrogen or a C1-C4alkyl. The invention further relates to a process for forming an image using the composition.
    Type: Application
    Filed: August 1, 2012
    Publication date: February 6, 2014
    Applicant: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: M. Dalil RAHMAN, Clement ANYADIEGWU, Douglas MCKENZIE, JoonYeon CHO
  • Publication number: 20140038086
    Abstract: A mask and method of fabricating same are disclosed. In an example, a mask includes a substrate, a reflective multilayer coating disposed over the substrate, an Ag2O absorber layer disposed over the reflective multilayer coating, and a tantalum-containing absorber layer disposed over the Ag2O absorber layer. The tantalum-containing absorber layer is disposed over the Ag2O absorber layer outside a mask image region of the mask, such that the mask image region of the mask is free of the tantalum-containing absorber layer. In an example, the tantalum-containing absorber layer is disposed over the Ag2O absorber layer adjacent to the mask image region.
    Type: Application
    Filed: August 1, 2012
    Publication date: February 6, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tsung Shih, Pei-Cheng Hsu, Shinn-Sheng Yu, Tsiao-Chen Wu, Yen-Cheng Lu, Shu-Hao Chang, Chia-Jen Chen, Hsin-Chang Lee, Anthony Yen
  • Patent number: 8642251
    Abstract: A method of manufacturing a display apparatus. The liquid crystal display includes a first substrate and a pixel electrode formed on the first substrate and having a plurality of branches. A plurality of alignment sections are interposed among the branches. An auxiliary liquid crystal layer having discotic liquid crystals is formed on the pixel electrode and the alignment sections. A main liquid crystal layer having a vertical alignment mode is formed on the auxiliary liquid crystal layer. A common electrode is formed on the main liquid crystal layer to apply an electric field to the main liquid crystal layer together with the pixel electrode. A second substrate is formed on the common electrode.
    Type: Grant
    Filed: November 8, 2012
    Date of Patent: February 4, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hyun-cheol Moon, Jooseok Yeom
  • Patent number: 8642249
    Abstract: Micro-fluid ejection devices, methods for making a micro-fluid ejection device, and methods for reducing a size of a substrate for a micro-fluid ejection head. One such micro-fluid ejection device has a polymeric layer adjacent a substrate and at least one conductive layer embedded in the polymeric layer. The polymeric layer comprises at least two layers of polymeric material.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: February 4, 2014
    Assignee: Funai Electric Co., Ltd.
    Inventors: Frank E Anderson, Yimin Guan, Carl Edmond Sullivan, Timothy Lowell Strunk
  • Publication number: 20140029080
    Abstract: An electrowetting display device includes a first base substrate, a second base substrate facing the first base substrate, an electrowetting layer that includes a first fluid and an electrically conducting second fluid that are immiscible with each other, black partition walls disposed on the first base substrate to partition a display area into pixel areas, and an electronic device that applies a voltage to the electrowetting layer to control the electrowetting layer. The partition walls restrict a flow of at least one of the first fluid or the second fluid.
    Type: Application
    Filed: December 3, 2012
    Publication date: January 30, 2014
    Applicant: Liquavista B.V.
    Inventor: Tae Hyung Hwang
  • Publication number: 20140030658
    Abstract: A method for manufacturing an electrode comprising the steps of: applying onto a substrate a conductive paste to form a conductive paste layer comprising; (i) 100 parts by weight of a copper powder coated with a metal oxide selected from the group consisting of silicon oxide (SiO2), zinc oxide (ZnO), aluminum oxide (Al2O3), titanium oxide (TiO2), magnesium oxide (MgO) and a mixture thereof; (ii) 5 to 30 parts by weight of a boron powder; and (iii) 0.1 to 10 parts by weight of a glass frit; dispersed in (iv) an organic vehicle; and firing the conductive paste in air.
    Type: Application
    Filed: July 26, 2012
    Publication date: January 30, 2014
    Applicant: E I DU PONT DE NEMOURS AND COMPANY
    Inventors: Masakatsu Kuroki, Takeshi Kono
  • Publication number: 20140022622
    Abstract: An electrowetting display device and manufacturing method thereof. The manufacturing method comprises forming pixel electrodes on a substrate, forming an insulation layer on the pixel electrodes, applying light through a mask to expose portions of the insulation layer, developing the insulation layer to at least partially remove the exposed portions of the insulation layer, wherein the developing forms a water repellent layer on the pixel electrodes and partitioning walls on the water repellent layer, and forming an oil layer between the partitioning walls and on the water repellent layer. The water repellent layer and the sides of the partitioning walls are hydrophobic. Upper surfaces of the partitioning walls are hydrophilic. The partition walls are thicker than the water repellent layer.
    Type: Application
    Filed: July 12, 2013
    Publication date: January 23, 2014
    Inventors: Kyung Tea Park, Suk-Won Jung
  • Publication number: 20140011120
    Abstract: A process of an extreme ultraviolet lithography (EUVL) is disclosed. The process includes receiving an extreme ultraviolet (EUV) mask with multiple states. Different states of the EUV mask are assigned to adjacent polygons and adjacent sub-resolution polygons. The EUV mask is exposed by a nearly on-axis illumination (ONI) with partial coherence ? less than 0.3 to produce diffracted lights and non-diffracted lights. Most of the non-diffracted lights are removed. The diffracted lights and the not removed non-diffracted lights are collected and directed to expose a target by a projection optics box.
    Type: Application
    Filed: July 5, 2012
    Publication date: January 9, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Anthony Yen
  • Publication number: 20140011122
    Abstract: Provided are a reflective mask blank and a reflective mask which are capable of improving the contrast for inspection light having a wavelength of 200 nm or less in an inspection, capable of improving the contrast for exposure light in use of the mask, and capable of forming a high-resolution fine pattern. A reflective mask blank 10 includes a substrate 1, and a multilayer reflective film 2 adapted to reflect exposure light, a protective film 6 composed mainly of ruthenium (Ru) or its compound on the multilayer reflective film 2, and an absorber film 4 adapted to absorb the exposure light, which are formed in this order on the substrate. The absorber film 4 has a laminated structure including an uppermost layer 4b and a lower layer 4a. The uppermost layer 4b is formed of a material composed mainly of a nitride, an oxide, an oxynitride, a carbide, a carbonitride, or an oxycarbonitride of at least one or more elements selected from Si and Cr.
    Type: Application
    Filed: August 29, 2013
    Publication date: January 9, 2014
    Applicant: HOYA CORPORATION
    Inventor: Morio Hosoya
  • Publication number: 20140011139
    Abstract: Methods and materials for making a semiconductor device are described. The method includes providing a substrate, forming a surface-modified middle layer (SM-ML) that includes a fluorine-containing material over the substrate, forming a photoresist layer over the SM-ML, exposing the photoresist layer to an exposure energy, and developing the photoresist layer.
    Type: Application
    Filed: July 6, 2012
    Publication date: January 9, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Fang Lin, Ching-Yu Chang
  • Publication number: 20130323649
    Abstract: Methods and apparatus for reducing vibrations in an extreme ultraviolet (EUV) lithography system associated with the cooling of minors are described. According to one aspect of the present invention, an apparatus includes a first assembly, a structure, a vibration isolator, and a hose arrangement. The first assembly includes a heat exchanger and a mirror assembly. The structure is subject to vibrations, and the vibration isolator is arranged to attenuate the vibrations when the vibrations are transmitted through the hose arrangement. The hose arrangement being coupled between the heat exchanger and the structure, and the vibration isolator is coupled to the hose arrangement.
    Type: Application
    Filed: March 15, 2013
    Publication date: December 5, 2013
    Applicant: NIKON
    Inventors: Douglas C. Watson, Travis Bow
  • Publication number: 20130323641
    Abstract: Photosensitive materials and method of forming a pattern that include providing a composition of a component of a photosensitive material that is operable to float to a top region of a layer formed from the photosensitive material. In an example, a photosensitive layer includes a first component having a fluorine atom (e.g., alkyl fluoride group). After forming the photosensitive layer, the first component floats to a top surface of the photosensitive layer. Thereafter, the photosensitive layer is patterned.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventor: Ching-Yu Chang
  • Publication number: 20130316152
    Abstract: There is herein described a method and apparatus for photoimaging. More particularly, there is described a method and apparatus for photoimaging a substrate (e.g. a web) covered with a wet curable photopolymer wherein a rotatable phototool is pressed and rotated against the substrate to create an imaged substrate which is used to form images suitable for forming electrical circuits such as for printed circuit boards (PCBs), flat panel displays and flexible circuits. There is also described a method and apparatus for directly photoimaging a substrate covered with a wet curable photopolymer, wherein the photoimaged substrate is used to form images such as electrical circuits and a method and apparatus for exposing at least part of a solder mask on a printed circuit board (PCB) using a wet curable photopolymer wherein an imaging process may thereafter occur on the area above the solder mask.
    Type: Application
    Filed: November 11, 2011
    Publication date: November 28, 2013
    Applicant: RAINBOW TECHNOLOGY SYSTEMS LTD
    Inventors: Charles Jonathan Kennett, John Cunningham, Robert Gibson
  • Publication number: 20130316510
    Abstract: A method of forming a integrated circuit pattern. The method includes coating a photoresist layer on a substrate; performing a lithography exposure process to the photoresist layer; performing a multiple-step post-exposure-baking (PEB) process to the photoresist layer; and developing the photoresist layer to form a patterned photoresist layer.
    Type: Application
    Filed: May 23, 2012
    Publication date: November 28, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Ming Wang, Yu Lun Liu, Chia-Chu Liu, Kuei-Shun Chen