Forming Nonplanar Surface Patents (Class 430/322)
  • Patent number: 10231344
    Abstract: Forming a conductive film comprising depositing a non-conductive film on a surface of a substrate, wherein the film contains a plurality of copper nanoparticles and exposing at least a portion of the film to light to make the exposed portion conductive. Exposing of the film to light photosinters or fuses the copper nanoparticles.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: March 12, 2019
    Assignees: APPLIED NANOTECH HOLDINGS, INC., ISHIHARA CHEMICAL CO., LTD.
    Inventors: Yunjun Li, David Max Roundhill, Mohshi Yang, Igor Pavlovsky, Richard Lee Fink, Zvi Yaniv
  • Patent number: 10203604
    Abstract: Embodiments described herein relate to methods and apparatus for performing immersion field guided post exposure bake processes. Embodiments of apparatus described herein include a chamber body defining a processing volume. A pedestal may be disposed within the processing volume and a first electrode may be coupled to the pedestal. A moveable stem may extend through the chamber body opposite the pedestal and a second electrode may be coupled to the moveable stem. In certain embodiments, a fluid containment ring may be coupled to the pedestal and a dielectric containment ring may be coupled to the second electrode.
    Type: Grant
    Filed: January 6, 2016
    Date of Patent: February 12, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Viachslav Babayan, Douglas A. Buchberger, Jr., Qiwei Liang, Ludovic Godet, Srinivas D. Nemani, Daniel J. Woodruff, Randy Harris, Robert B. Moore
  • Patent number: 10197712
    Abstract: A light-diffusing-member manufacturing method includes a step of forming a light diffusion portion on one surface side of a base, by developing an exposed negative photosensitive resin layer with an alkali developing solution, and a step of performing an acid treatment on the light diffusion portion with an acid solution, after removing the alkali developing solution which is adhered to the light diffusion portion and suspended matter in the alkali developing solution in the negative photosensitive resin layer, so as to lower an ionization degree of the negative photosensitive resin layer which is in an ionized state due to the alkali developing solution.
    Type: Grant
    Filed: October 28, 2014
    Date of Patent: February 5, 2019
    Assignee: SHARP KABUSHIKI KAISHA
    Inventors: Sho Ochi, Yasushi Asaoka, Tsuyoshi Maeda, Toru Kanno
  • Patent number: 10163631
    Abstract: In accordance with an embodiment a bottom anti-reflective layer comprises a surface energy modification group which modifies the surface energy of the polymer resin to more closely match a surface energy of an underlying material in order to help fill gaps between structures. The surface energy of the polymer resin may be modified by either using a surface energy modifying group or else by using an inorganic structure.
    Type: Grant
    Filed: September 11, 2017
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Chung Su, Ching-Yu Chang
  • Patent number: 10073349
    Abstract: A pattern-forming method comprises patternwise exposing a predetermined region of a resist material film made from a photosensitive resin composition comprising a chemically amplified resist material to a first radioactive ray that is ionizing radiation or nonionizing radiation having a wavelength of no greater than 400 nm. The resist material film patternwise exposed is floodwise exposed to a second radioactive ray that is nonionizing radiation having a wavelength greater than the wavelength of the nonionizing radiation for the patternwise exposing and greater than 200 nm. The chemically amplified resist material comprises a base component, and a generative component that is capable of generating a radiation-sensitive sensitizer and an acid upon an exposure. The generative component comprises a radiation-sensitive sensitizer generating agent. The radiation-sensitive sensitizer generating agent comprises a compound represented by formula (A).
    Type: Grant
    Filed: August 19, 2016
    Date of Patent: September 11, 2018
    Assignees: OSAKA UNIVERSITY, TOKYO ELECTRON LIMITED, JSR CORPORATION
    Inventors: Hisashi Nakagawa, Takehiko Naruoka, Tomoki Nagai, Seiichi Tagawa, Akihiro Oshima, Seiji Nagahara
  • Patent number: 10073348
    Abstract: A resist-pattern-forming method comprises: patternwise exposing a predetermined region of a resist material film to a first radioactive ray that is ionizing radiation or nonionizing radiation; floodwise exposing the resist material film to a second radioactive ray that is nonionizing radiation; baking the resist material film; and developing the resist material film to form a resist pattern. The resist material film is made from a photosensitive resin composition comprising a chemically amplified resist material. The chemically amplified resist material comprises a base component that is capable of being made soluble or insoluble in a developer solution by an action of an acid and a generative component that is capable of generating a radiation-sensitive sensitizer and an acid upon an exposure. A van der Waals volume of the acid generated from the generative component is no less than 3.0×10?28 m3.
    Type: Grant
    Filed: August 19, 2016
    Date of Patent: September 11, 2018
    Assignees: OSAKA UNIVERSITY, TOKYO ELECTRON LIMITED, JSR CORPORATION
    Inventors: Hisashi Nakagawa, Takehiko Naruoka, Tomoki Nagai, Seiichi Tagawa, Akihiro Oshima, Seiji Nagahara
  • Patent number: 10062858
    Abstract: The invention relates to method for manufacturing an electronic device comprising an organic layer (120). According to this method, a stack with a metal layer (130) and an organic layer (120) as first and second outer layers is structured by etching both these outer layers. In one particular embodiment, an additional metal layer (140) may be generated on the outermost metal layer (130) by galvanic growth through a structured isolation 10 layer (150). After removal of said isolation layer (150), the metal (130) may be etched in the openings of the additional metal layer (140). In a further etching step, the organic material (120) may be removed in said openings, too.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: August 28, 2018
    Assignee: OLEDWORKS, LLC
    Inventors: Sören Hartmann, Herbert Lifka
  • Patent number: 10049986
    Abstract: A package structure and method of making the same is provided. A through via is formed on a substrate, the through via extending through a molding material. An upper surface of the molding material is recessed from an upper surface of the through via. A dielectric layer is deposited over the through via and the molding material. The dielectric layer has a first upper surface with a first variation in height between a first area disposed over the through via and a second area disposed over the molding material. Exposure processes are performed on the dielectric layer. The dielectric layer is developed. After the developing, the dielectric layer has a second upper surface with a second variation in height between the first area and the second area. The first variation is greater than the second variation.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: August 14, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Zi-Jheng Liu, Chung-Shi Liu, Hung-Jui Kuo, Yu-Hsiang Hu
  • Patent number: 10025187
    Abstract: A photosensitization chemical-amplification type resist material according to the present invention is used for a two-stage exposure lithography process, and contains (1) a developable base component and (2) a component generating a photosensitizer and an acid through exposure. Among three components consisting of (a) an acid-photosensitizer generator, (b) a photosensitizer precursor, and (c) a photoacid generator, the above component contains only the component (a), any two components, or all of the components (a) to (c).
    Type: Grant
    Filed: February 17, 2015
    Date of Patent: July 17, 2018
    Assignees: TOKYO ELECTRON LIMITED, OSAKA UNIVERSITY
    Inventors: Seiji Nagahara, Seiichi Tagawa, Akihiro Oshima
  • Patent number: 10026827
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a first organic layer on the substrate; patterning the first organic layer to form an opening; forming a second organic layer in the opening; and removing the first organic layer to form a patterned second organic layer on the substrate.
    Type: Grant
    Filed: April 10, 2016
    Date of Patent: July 17, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Zhen Wu, Chiu-Hsien Yeh, Po-Wen Su, Kuan-Ying Lai
  • Patent number: 10005208
    Abstract: An apparatus for forming a three-dimensional image includes: a print function unit that forms a first print image on one surface and a second print image on a region of another surface of a thermally expandable sheet with a photothermal conversion material; and an image processing unit that sets a density of the photothermal conversion material in the second print image based on a density of the photothermal conversion material in the first print image. The second print image is a mirror image of the first print image, and the region of the other surface corresponds to the first print image of the one surface. A three-dimensional image of the first print image is formed by selectively expanding a thermally expandable layer with thermal energy according to the density of the photothermal conversion material in the second print image when the sheet is irradiated with light from the other surface.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: June 26, 2018
    Assignee: CASIO COMPUTER CO., LTD.
    Inventor: Yuji Horiuchi
  • Patent number: 9927699
    Abstract: An imprint lithography apparatus is disclosed which includes a pattern fixing system configured to use actinic radiation to fix a pattern provided in a layer of imprintable medium by an imprint lithography template, and an inspection system configured to use inspection radiation to inspect an element constituting or, in use, being located within, the imprint lithography apparatus. The imprint lithography apparatus is configured such that the pattern fixing system and the inspection system are provided, in use, with, respectively, actinic radiation and inspection radiation from a single source of radiation.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: March 27, 2018
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Sander Frederik Wuister, Vadim Yevgenyevich Banine
  • Patent number: 9921486
    Abstract: The present invention provides a method for multiscale patterning of a sample. The method includes: placing the sample in an apparatus having both thermo-optical lithography capability and thermal scanning probe lithography capability; and patterning two patterns onto the sample, respectively by: thermo-optical lithography, wherein light is emitted from a light source onto the sample to heat the latter and thereby write a first pattern that is the largest of the two patterns; and thermal scanning probe lithography, wherein the sample and a heated probe tip are brought in contact for writing a second pattern that has substantially smaller critical dimensions than the first pattern. There is also provided an apparatus for multiscale patterning of a sample.
    Type: Grant
    Filed: November 13, 2014
    Date of Patent: March 20, 2018
    Assignee: SWISSLITHO AG
    Inventors: Urs T Duerig, Stefan Fringes, Felix Holzner, Armin W Knoll
  • Patent number: 9891524
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device, includes forming an energy-ray-curable resin layer containing a sensitizer for increasing sensitivity to exposure light, on an underlayer region including a semiconductor substrate, irradiating the energy-ray-curable resin layer with energy rays to form a lower layer film containing the sensitizer, forming a resist film on the lower layer film, diffusing the sensitizer from the lower layer film into the resist film by thermal treatment, irradiating the resist film in which the sensitizer is diffused with exposure light, and developing the resist film irradiated with the exposure light.
    Type: Grant
    Filed: August 26, 2015
    Date of Patent: February 13, 2018
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Eishi Shiobara
  • Patent number: 9885950
    Abstract: A phase shift mask enables much smaller scale of electronic circuit pattern. A phase shift mask comprises a transparent substrate, a phase shift pattern arranged on the transparent substrate to change a phase of light that penetrates the transparent substrate, and a metal coating layer arranged on at least a part of a surface of the phase shift pattern.
    Type: Grant
    Filed: April 20, 2015
    Date of Patent: February 6, 2018
    Assignee: Samsung Display Co., Ltd.
    Inventors: Yong Son, Min Kang, Bong Yeon Kim, Hyun Joo Lee, Jin Ho Ju
  • Patent number: 9884936
    Abstract: The present invention is directed to photoactive resins employed in radiation curable compositions and ink. The radiation curable compositions include mono or multi-functional acrylates, an amine and the above-mentioned photoactive resin. Radiation curable inks at least include the components of the radiation curable composition in addition to colorants.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: February 6, 2018
    Assignee: SUN CHEMICAL CORPORATION
    Inventors: Kai-uwe Gaudl, Juergen Dieker
  • Patent number: 9864294
    Abstract: A resin composition includes at least one selected from compounds represented by formula (I-1) and at least one selected from compounds represented by formula (I-2) and compounds represented by formula (I-3): wherein R11 to R14, R21 to R24, and R31 to R34 independently represent a hydrogen atom, an alkyl group, an alkoxy group, an aryl group, or an aralkyl group, R15, R16, R25, R26, R35, and R36 independently represent a hydrogen atom or an alkyl group, X and Y independently represent an oxygen atom, a sulfur atom, a selenium atom, or a tellurium atom, with the proviso that plural X's each represent the same element, plural Y's each represent the same element, which is an element different from the element selected as X, A1 to A3 independently represent a divalent group represented by formula (a1) or (a2), which is bonded at the * positions.
    Type: Grant
    Filed: July 5, 2016
    Date of Patent: January 9, 2018
    Assignee: FUJI XEROX CO., LTD.
    Inventor: Hiroshi Saegusa
  • Patent number: 9864276
    Abstract: A method and apparatus for exposing a photoresist in the presence of an electric field using a high power continuous wave source as a radiation source is disclosed herein. In one embodiment, a processing region includes a stage, a translation mechanism, a continuous wave electromagnetic module, and plurality of electrode assemblies. The continuous wave electromagnetic module includes a continuous wave electromagnetic radiation source in the form of a high power continuous wave electromagnetic laser. An electric field is applied to the surface of the substrate using the plurality of electrode assemblies while the continuous wave electromagnetic radiation source selectively irradiates the surface of the substrate.
    Type: Grant
    Filed: April 5, 2016
    Date of Patent: January 9, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Christine Y. Ouyang, Ludovic Godet, Sang Ki Nam
  • Patent number: 9802400
    Abstract: Disclosed herein is a method comprising disposing a first composition comprising a first block copolymer upon a substrate; where the first block copolymer comprises a first segment and a second segment that are covalently bonded to each other and that are chemically different from each other; where the first segment has a first surface free energy and where the second segment has a second surface free energy; and disposing a second composition comprising an second copolymer upon a free surface of the first block copolymer; where the second copolymer comprises a surface free energy reducing moiety; where the surface free energy reducing moiety has a lower surface free energy than the first surface free energy and the second surface free energy; the second copolymer further comprising one or more moieties having an affinity to the first block copolymer; where the surface free energy reducing moiety is chemically different from the first segment and from the second segment.
    Type: Grant
    Filed: June 24, 2013
    Date of Patent: October 31, 2017
    Assignees: DOW GLOBAL TECHNOLOGIES LLC, ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventors: Peter Trefonas, III, Deyan Wang, Rahul Sharma, Phillip D. Hustad, Mingqi Li
  • Patent number: 9779186
    Abstract: Methods are disclosed to create efficient model-based Sub-Resolution Assist Features (MB-SRAF). An SRAF guidance map is created, where each design target edge location votes for a given field point on whether a single-pixel SRAF placed on this field point would improve or degrade the aerial image over the process window. In one embodiment, the SRAF guidance map is used to determine SRAF placement rules and/or to fine-tune already-placed SRAFs. The SRAF guidance map can be used directly to place SRAFs in a mask layout. Mask layout data including SRAFs may be generated, wherein the SRAFs are placed according to the SRAF guidance map. The SRAF guidance map can comprise an image in which each pixel value indicates whether the pixel would contribute positively to edge behavior of features in the mask layout if the pixel is included as part of a sub-resolution assist feature.
    Type: Grant
    Filed: May 20, 2014
    Date of Patent: October 3, 2017
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yu Cao, Hanying Feng
  • Patent number: 9768355
    Abstract: Methods and apparatuses to produce graphene and nanoparticle catalysts supported on graphene without the use of reducing agents, and with the concomitant production of heat, are provided. The methods and apparatuses employ radiant energy to reduce (deoxygenate) graphite oxide (GO) to graphene, or to reduce a mixture of GO plus one or more metals to produce nanoparticle catalysts supported on graphene. Methods and systems to generate and utilize heat that is produced by irradiating GO, graphene and their metal and semiconductor nanocomposites with visible, infrared and/or ultraviolet radiation, e.g. using sunlight, lasers, etc. are also provided.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: September 19, 2017
    Assignee: Virginia Commonwealth University
    Inventors: M. Samy El-Shall, Victor Abdelsayed, Saud I. Al-Resayes, Zeid Abdullah M. Alothman
  • Patent number: 9766545
    Abstract: A method for forming a pattern on a substrate is described. The method includes providing a substrate, forming a photosensitive layer over the substrate, exposing the photosensitive layer to a first exposure energy through a first mask, exposing the photosensitive layer to a second exposure energy through a second mask, baking the photosensitive layer, and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a developer solution, at least one photo-acid generator (PAG), and at least one photo-base generator (PBG). A portion of the layer exposed to the second exposure energy overlaps with a portion exposed to the first exposure energy.
    Type: Grant
    Filed: July 24, 2015
    Date of Patent: September 19, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ya Hui Chang, Chia-Chu Liu
  • Patent number: 9753197
    Abstract: An identification film and pattern having high transparency and a reflectance spectrum with respect to a particular wavelength and including photonic crystals patterned into a particular shape and an anticounterfeiting product including the same are disclosed. A highly transparent colloidal photonic crystal film is easily manufactured using photocurable colloidal suspensions. Even though a plurality of patterns (including sub-patterns) is formed in plural films, there is no reduction in optical characteristics such as transparency, reflectance spectra, and the like. Thus, a film patterned into a desired shape is manufactured and thus usability thereof is enhanced, and a plurality of reflectance spectra with various wavelength ranges is provided and thus identification capability or security is enhanced.
    Type: Grant
    Filed: July 11, 2014
    Date of Patent: September 5, 2017
    Assignee: KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Shin Hyun Kim, Seung Man Yang, Hye Soo Lee, Tae Soup Shim
  • Patent number: 9753201
    Abstract: Provided is a method of fabricating a wire grid polarizer, the method comprising an organic light-emitting display panel. According to an aspect of the present disclosure, there is provided a method comprising sequentially stacking a conductive wire pattern layer, a first neutral layer, a guide pattern layer and a second neutral layer on a substrate, forming etch-stop patterns on the second neutral layer, forming second neutral layer patterns and guide patterns by patterning the second neutral layer and the guide pattern layer using the etch-stop patterns, coating a block copolymer of two types of monomer blocks having different etch rates on the first neutral layer and the second neutral layer patterns, aligning the block copolymer, removing one type of monomer blocks from the aligned block copolymer, and patterning the conductive wire pattern layer using the remaining monomer blocks, the second neutral layer patterns, and the guide patterns.
    Type: Grant
    Filed: March 25, 2015
    Date of Patent: September 5, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Su Mi Lee, Min Hyuck Kang
  • Patent number: 9703918
    Abstract: A method of optimizing a semiconductor mask layout is provided. The method includes accessing a digital file comprising the semiconductor mask layout, accessing processing condition parameters describing process conditions, receiving a request from a user of a mask layout system to initiate a semiconductor mask layout optimization process, applying a set of rules to insert an array of assist features into the semiconductor mask layout, and updating the digital file. The semiconductor mask layout includes a plurality of parallel mask features, wherein pairs of the parallel mask features share an end-to-end region between the parallel mask features of each pair, with an imaginary axis bisecting the end-to-end regions. Each assist feature is located proximate to at least one end-to-end region, and the imaginary axis intersects each assist feature. Related photomasks, design layout systems, and computer-readable media are also provided.
    Type: Grant
    Filed: March 16, 2015
    Date of Patent: July 11, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wei-De Ho, Chi-Yuan Sun, Ya Hui Chang, Hung-Chang Hsieh
  • Patent number: 9705109
    Abstract: There is provided an organic light emitting diode (OLED) comprising an organic electroluminescent layer formed between a first electrode and a second electrode, characterised in that organic electroluminescent layer comprises a nano-structured grating pattern provided therein, wherein the grating is configured to modify the refractive index of the electroluminescent layer.
    Type: Grant
    Filed: May 28, 2014
    Date of Patent: July 11, 2017
    Assignee: Lomox Limited
    Inventor: Nigel Joseph Copner
  • Patent number: 9690188
    Abstract: A method for manufacturing a photomask is provided. The method includes providing a flexible substrate, forming a plurality of microstructures on the flexible substrate, coating the flexible substrate with a shading material to form a shading layer on the substrate, and solidifying the shading layer which is a single layer.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: June 27, 2017
    Assignee: NATIONAL CHENG KUNG UNIVERSITY
    Inventors: Yung-Chun Lee, Chun-Ying Wu, Heng Hsieh, Yi-Ta Hsieh, Jhih-Nan Yan
  • Patent number: 9690199
    Abstract: In a first aspect, methods are provided that comprise: (a) applying a curable composition on a substrate; (b) applying a hardmask composition above the curable composition; (c) applying a photoresist composition layer above the hard mask composition, wherein one or more of the compositions are removed in an ash-free process. In a second aspect, methods are provided that comprise (a) applying an organic composition on a substrate; (b) applying a photoresist composition layer above the organic composition, wherein the organic composition comprises a material that produce an alkaline-soluble group upon thermal and/or radiation treatment. Related compositions also are provided.
    Type: Grant
    Filed: April 13, 2016
    Date of Patent: June 27, 2017
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Anthony Zampini, Michael K. Gallagher, Owendi Ongayi
  • Patent number: 9650357
    Abstract: A reagent that enhances acid generation of a photoacid generator and composition containing such reagent is disclosed.
    Type: Grant
    Filed: June 23, 2014
    Date of Patent: May 16, 2017
    Assignees: Toyo Gosei Co., Ltd., Osaka University
    Inventor: Satoshi Enomoto
  • Patent number: 9651870
    Abstract: A tool and a method of lithography are provided. In various embodiments, the method of lithography includes forming a photoresist layer on a substrate. The method further includes exposing the photoresist layer to form an exposed photoresist layer. The method further includes rinsing the exposed photoresist layer. The method further includes treating the exposed photoresist layer with a chemical modifier to form a modified photoresist layer. The method further includes baking the modified photoresist layer. The method further includes developing the modified photoresist layer.
    Type: Grant
    Filed: April 28, 2014
    Date of Patent: May 16, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tsung-Pao Chen, Sheng-Min Chuang, Teng-Kuei Chuang
  • Patent number: 9632032
    Abstract: A method for manufacturing a substrate for surface-enhanced Raman spectography, includes creating a supporting structure including microstructured pattern including a top and sidewalls; depositing a multilayer on the supporting structure with the multilayer including two metal layers and an intermediate layer arranged between the two metal layers, with the intermediate layer being carried out in a material that can be selectively etched with respect to the metal layers; etching a portion of the multilayer deposited on the top of the microstructured pattern in such a way as to expose ends each layer of the multilayer; selective etching of the ends of the intermediate layers in such a way as to form cavities between the ends of two successive metal layers.
    Type: Grant
    Filed: January 5, 2016
    Date of Patent: April 25, 2017
    Assignee: COMMISSARIAT À L'ÉNERGIE ATOMIQUE ET AUX ÉNERGIES ALTERNATIVES
    Inventors: Stefan Landis, Vincent Reboud
  • Patent number: 9618664
    Abstract: An optical element (transmissive or reflective) includes a transmissive layer comprising two different optical media arranged among discrete volumes arranged along the layer. The discrete volumes are arranged to approximate a desired phase function (typically modulo 2?) and are smaller than an operational wavelength in order to provide a range of phase delays needed to adequately approximate the desired phase function.
    Type: Grant
    Filed: April 15, 2015
    Date of Patent: April 11, 2017
    Assignee: Finisar Corporation
    Inventors: Thomas W. Mossberg, Christoph M. Greiner, Dmitri Iazikov
  • Patent number: 9606437
    Abstract: A fluorine-containing compound represented by a following general formula (1) is provided. [In the general formula (1), X represents a halogen atom or an alkoxy group, R1 represents a branched chain or cyclic alkyl group having 3 to 10 carbon atoms, and Rf1 and Rf2 represent fluorinated alkoxy groups. n represents an integer of 0 or greater.
    Type: Grant
    Filed: March 2, 2015
    Date of Patent: March 28, 2017
    Assignees: KANAGAWA UNIVERSITY, NIKON CORPORATION
    Inventor: Kazuo Yamaguchi
  • Patent number: 9599897
    Abstract: A salt represented by the formula (I); wherein Q1 and Q2 each independently represent a fluorine atom or a C1 to C6 perfluoroalkyl group, Lb1 represents a single bond or a divalent C1 to C24 saturated hydrocarbon group where a methylene group may be replaced by an oxygen atom or a carbonyl group and where a hydrogen atom may be replaced by a hydroxyl group or a fluorine atom, and Y represents a hydrogen atom, a fluorine atom, or an optionally substituted C3 to C18 alicyclic hydrocarbon group where a methylene group may be replaced by an oxygen atom, a carbonyl group or a sulfonyl group; and Ar represents a divalent C6 to C20 aromatic hydrocarbon group, and Z+ represents an organic sulfonium cation or an organic iodonium cation.
    Type: Grant
    Filed: August 25, 2015
    Date of Patent: March 21, 2017
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Takashi Nishimura, Yuko Mukai, Koji Ichikawa
  • Patent number: 9599888
    Abstract: Various non-planar reflective lithography masks, systems using such lithography masks, and methods are disclosed. An embodiment is a lithography mask comprising a transparent substrate, a reflective material, and a reticle pattern. The transparent substrate comprises a curved surface. The reflective material adjoins the curved surface of the transparent substrate, and an interface between the reflective material and the transparent substrate is a reflective surface. The reticle pattern is on a second surface of the transparent substrate. A reflectivity of the reticle pattern is less than a reflectivity of the reflective material. Methods for forming similar lithography masks and for using similar lithography masks are disclosed.
    Type: Grant
    Filed: February 3, 2016
    Date of Patent: March 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hsuan Liu, Jen-Pan Wang
  • Patent number: 9541478
    Abstract: The present invention provides a method for fluorescence intensity equalization. The method involves providing an assay device having at least one immobilized array of molecular probes that bind to an analyte bound to a fluorescent marker; providing drying apparatus comprising an aspiration tube having open first and second ends, the second end of the aspiration tube being connected a vacuum source for applying a vacuum through said tube; placing the first end of the aspiration tube in proximity of said at least one immobilized array of molecular probes; and applying a vacuum through said aspiration tube for removing vapor from said at least one immobilized array of molecular probes. The application of the present invention provides for more reliable fluorescent signal intensity readings due to a reduction in signal quenching factors.
    Type: Grant
    Filed: October 7, 2009
    Date of Patent: January 10, 2017
    Assignee: SQI DIAGNOSTICS SYSTEMS INC.
    Inventor: Peter Lea
  • Patent number: 9507274
    Abstract: An object holder (100) for a lithographic apparatus has a main body (400) having a surface (400a). A plurality of burls (406) to support an object are formed on the surface or in apertures of a thin-film stack (410, 440, 450). At least one of the burls is formed by laser-sintering. At least one of the burls formed by laser-sintering may be a repair of a damaged burl previously formed by laser-sintering or another method.
    Type: Grant
    Filed: January 17, 2013
    Date of Patent: November 29, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Raymond Wilhelmus Louis Lafarre, Sjoerd Nicolaas Lambertus Donders, Nicolaas Ten Kate, Nina Vladimirovna Dziomkina, Yogesh Pramod Karade, Elisabeth Corinne Rodenburg
  • Patent number: 9427915
    Abstract: A method of manufacturing of an alignment material film includes photoaligning the alignment material film by an exposure device to split each of sections of the alignment material film corresponding to picture elements of a liquid crystal display device into two parts in a width direction of the picture elements and exposing the alignment material film from different directions of each other. In the exposure device, each of sections of the alignment material film corresponding to the picture elements of the liquid crystal display device is split into two parts in the width direction of the picture elements and the two parts are exposed from the different directions of each other, whereby the alignment material film is photoaligned. The exposure device includes a first light source and a second light source for outputting exposure light.
    Type: Grant
    Filed: March 6, 2015
    Date of Patent: August 30, 2016
    Assignee: V TECHNOLOGY CO., LTD.
    Inventor: Michinobu Mizumura
  • Patent number: 9423541
    Abstract: A manufacturing method of a mother substrate assembly includes forming a metal layer on substantially an entire surface of a transparent substrate including a cell area including a non-display area and a display area, an align key area, and a substrate area surrounding the cell area and the align key area, etching the metal layer to form an align key in the align key area, etching the metal layer to form a reflection part in the non-display area, and etching the metal layer in the display area to form a metal nanowire in the display area.
    Type: Grant
    Filed: October 14, 2014
    Date of Patent: August 23, 2016
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Seung-Won Park, Taewoo Kim, Moongyu Lee, Minhyuck Kang
  • Patent number: 9412615
    Abstract: A patterning method is provided. A substrate including a material layer thereon is provided. A patterned hard mask layer, having a plurality of first holes, is formed on the material layer. Afterward, a mask layer, including a plurality of line pattern masks extending in a direction and dividing each first hole into a second hole and a third hole, is formed. The material layer is patterned using the patterned hard mask layer and the mask layer as masks to form a patterned material layer having a plurality of fourth and fifth holes. Furthermore, a semiconductor structure is provided.
    Type: Grant
    Filed: September 22, 2014
    Date of Patent: August 9, 2016
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Chin-Cheng Yang
  • Patent number: 9371250
    Abstract: A process for forming an array of irregularities or features that are submicron-size in height and that have a characteristic lateral dimension that is micron- or submicron-size, over a surface of a material, by ion erosion, the process including: supplying the material with a thickness at least equal to 100 nm, the material being a solid hybrid material that includes: a simple oxide or a mixed oxide of one or more elements, an oxide molar percentage in the material being at least 40%; and a species, of a different nature to the one or more elements of the oxide, a molar percentage of the species in the material ranging from 6 mol % up to 50 mol % while remaining below the percentage of the oxide, most of the species having a largest characteristic dimension smaller than 50 nm, optionally heating the hybrid material before the erosion; structuring the surface of the hybrid material with an erosion that lasts less than one hour over an erosion area greater than 1 cm2, until the array of features is formed, the
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: June 21, 2016
    Assignee: SAINT-GOBAIN GLASS FRANCE
    Inventors: Elin Sondergard, Sébastien Le Roy, Alban Letailleur, Etienne Barthel, Constance Magne
  • Patent number: 9362106
    Abstract: A wafer is held horizontally and rotated by a substrate holding mechanism. An aqueous alkaline solution is supplied to a wafer by a nozzle and caused to flow from a central portion to a peripheral edge portion of the wafer, thereby etching the wafer. An amount of oxygen, which is equal to or more than the amount of oxygen in atmospheric air involved in the aqueous alkaline solution flowing on the wafer, is previously dissolved in the aqueous alkaline solution.
    Type: Grant
    Filed: June 5, 2013
    Date of Patent: June 7, 2016
    Assignees: Sony Corporation, Tokyo Electron Limited
    Inventors: Hayato Iwamoto, Yoshiya Hagimoto, Tomoki Tetsuka, Shinichiro Shimomura, Teruomi Minami, Hiroki Sakurai, Hirotaka Maruyama, Yosuke Kawabuchi, Hiroshi Tanaka
  • Patent number: 9359528
    Abstract: The present invention relates to a pressure sensitive adhesive composition. The present invention may effectively provide a pressure sensitive adhesive where peel forces for both sides are different and an modulus differs along the thickness direction. By applying the pressure sensitive adhesive as above, the present invention may provide, for example, an optical element, such as a polarizing plate, which can effectively inhibit light leakage and shows excellent durability, while being formed to have a thin thickness as well.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: June 7, 2016
    Assignee: LG CHEM, LTD.
    Inventors: Sung Soo Yoon, No Ma Kim, In Ho Hwang, In Kyu Park, Min Ki Lee
  • Patent number: 9354522
    Abstract: A block copolymer includes: a first block, and a second block copolymerized with the first block. The second block includes a silyl group including a ring-type functional group.
    Type: Grant
    Filed: August 22, 2014
    Date of Patent: May 31, 2016
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Min Hyuck Kang, Tae Woo Kim, Myung Im Kim, Moon Gyu Lee, Su Mi Lee, Seung-Won Park, Lei Xie, Bong-Jin Moon, Na Na Kang
  • Patent number: 9352543
    Abstract: Provided are methods of patterning porous materials on the micro- and nanometer scale using a direct imprinting technique. The present methods of direct imprinting of porous substrates (“DIPS”), can utilize reusable stamps that may be directly applied to an underlying porous material to selectively, mechanically deform and/or crush particular regions of the porous material, creating a desired structure. The process can be performed in a matter of seconds, at room temperature or higher temperatures, and eliminates the requirement for intermediate masking materials and etching chemistries.
    Type: Grant
    Filed: May 31, 2010
    Date of Patent: May 31, 2016
    Assignee: Vanderbilt University
    Inventors: Sharon M. Weiss, Judson D. Ryckman, Marco Liscidini, John E. Sipe
  • Patent number: 9354523
    Abstract: A composition for resist pattern-refinement includes an ion represented by formula (1-1), an ion represented by formula (1-2), an ion represented by formula (2-1), an ion represented by formula (2-2) and a solvent. A total amount of the ions blended is no less than 50% by mass with respect to a sum of components other than the solvent. R1 represents a monovalent organic group having 1 to 30 carbon atoms or a fluorine atom; Z represents a single bond or a divalent linking group; R2 represents a single bond, a divalent hydrocarbon group having 1 to 10 carbon atoms or a divalent fluorinated hydrocarbon group having 1 to 10 carbon atoms; M+ represents a monovalent cation; and R3 represents a monovalent organic group having 1 to 30 carbon atoms.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: May 31, 2016
    Assignee: JSR Corporation
    Inventors: Yuuko Kiridoshi, Hiroyuki Nii, Tsuyoshi Furukawa, Takeo Shioya
  • Patent number: 9341950
    Abstract: An exposure apparatus is provided and adapted for exposing a photoresist layer on a layer to form a plurality of strip exposed patterns. The exposure apparatus includes a light source, a lens group and a mask. The lens group is disposed between the photoresist layer and the light source and includes a plurality of strip lens parallel to each other, wherein an overlapping region between any two neighboring strip lens is defined as a lens connecting region, and the other regions excluding the lens connecting regions are defined as lens regions. The mask is disposed between the photoresist layer and the lens group and includes a plurality of shielding patterns, wherein an outline of the shielding patterns corresponds to the strip exposed patterns, each shielding pattern has a strip opening, and an extension direction of the strip openings is substantially parallel to an extension direction of the shielding patterns.
    Type: Grant
    Filed: May 27, 2015
    Date of Patent: May 17, 2016
    Assignee: Au Optronics Corporation
    Inventors: Hsiang-Chih Hsiao, Ta-Wen Liao, Tzu-Min Yang, Shan-Fang Chen, Ya-Ping Chang, Chi-Hung Yang, Chung-Yuan Liao
  • Patent number: 9341954
    Abstract: An illumination optical apparatus has an optical unit. The optical unit has a light splitter to split an incident beam into two beams; a first spatial light modulator which can be arranged in an optical path of a first beam; a second spatial light modulator which can be arranged in an optical path of a second beam; and a light combiner which combines a beam having passed via the first spatial light modulator, with a beam having passed via the second spatial light modulator; each of the first spatial light modulator and the second spatial light modulator has a plurality of optical elements arranged two-dimensionally and controlled individually.
    Type: Grant
    Filed: March 20, 2015
    Date of Patent: May 17, 2016
    Assignee: NIKON CORPORATION
    Inventor: Osamu Tanitsu
  • Patent number: 9335634
    Abstract: A display manufacturing method comprises steps of: moving a first substrate and a second substrate by a conveying apparatus; and implementing a first exposure and a second exposure of the first substrate and a first exposure and a second exposure of the second substrate by at least one light emitting element when the conveying apparatus drives the first and second substrates to pass through the light source module. When the first exposures of the first and second substrates are implemented, the moving directions of the first and second substrates are opposite, or when the second exposures of the first and second substrates are implemented, the moving directions of the first and second substrates are opposite. A photo alignment process is also disclosed.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: May 10, 2016
    Assignee: Innolux Corporation
    Inventors: Ker-Yih Kao, Tsan-Jen Chen, Chien-Hsing Lee
  • Patent number: 9329491
    Abstract: A method of adjusting speed and/or routing of a part of a movement plan of a table under an immersion fluid supply system of a lithographic apparatus. The method includes splitting the movement plan of the table into a plurality of discrete movements; determining a risk of a bubble of a size greater than a certain size being present in immersion fluid through which a patterned beam of the lithographic apparatus will pass during a certain discrete movement by determining whether the immersion fluid supply system passes over a position at which immersion fluid leaked from the immersion fluid supply system is present; and adjusting the speed and/or routing of a part of the movement plan corresponding to (i) a discrete movement earlier than a discrete movement for which the risk of a bubble is determined, and/or (ii) a discrete movement for which the risk of a bubble is determined.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: May 3, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Cédric Désiré Grouwstra, Nicolaas Rudolf Kemper, Norbertus Josephus Martinus Van Den Nieuwelaar, Dirk De Vries, Hua Li, Marinus Jochemsen