Removal Of Imaged Layers Patents (Class 430/329)
  • Patent number: 7419760
    Abstract: Disclosed herein is a top anti-reflective coating composition comprising a bissulfone compound, as a photoacid generator, represented by Formula 1 below: wherein R1 and R2 are independently, a straight, branched or cyclic alkyl, aryl, alkenyl, oxoalkyl or oxoaryl group of 1 to 20 carbon atoms; or a halogen-substituted straight, branched or cyclic alkyl, aryl, alkenyl, oxoalkyl or oxoaryl group of 1 to 20 carbon atoms. Since the top anti-reflective coating composition dissolves a portion of a photoacid generator present at the top of an underlying photosensitizer, particularly, upon formation of a top anti-reflective coating, it can prevent the top from being formed into a thick section. Therefore, the use of the anti-reflective coating composition enables the formation of a vertical pattern of a semiconductor device.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: September 2, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Chang Jung, Cheol Kyu Bok, Sam Young Kim, Chang Moon Lim, Seung Chan Moon
  • Patent number: 7413848
    Abstract: A method of removing photoresist is provided. In the whole process of removing the photoresist, plasma is not used. Instead, a first solution is used in a first removal step to remove a photoresist layer. Then, a second solution is used in a second removal step to completely remove the photoresist layer. The first solution and the second solution have different polarities, and the polarity of the first solution is large than that of the second solution.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: August 19, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Lien-Sheng Chung, Chi-Hung Wei, Hsin-Hsu Lin
  • Publication number: 20080193883
    Abstract: A resist film is formed on a substrate, and a barrier film including a compound whose alkali-insoluble property is changed to an alkali-soluble property through molecular structure change caused by an alkaline solution is formed on the resist film. Thereafter, with an immersion liquid provided on the barrier film, pattern exposure is performed by selectively irradiating the resist film through the barrier film with exposing light. After the pattern exposure, the barrier film is removed and the resist film is developed. Thus, a resist pattern made of the resist film is formed.
    Type: Application
    Filed: December 3, 2007
    Publication date: August 14, 2008
    Inventors: Masayuki ENDO, Masaru SASAGO
  • Patent number: 7399582
    Abstract: In the method wherein a resist pattern is miniaturized effectively by applying a fine pattern forming material, the fine pattern forming material used for providing with a cured coated layer pattern, wherein development defects are reduced by water development is offered, wherein the fine pattern forming material comprises a water-soluble resin, a water-soluble crosslinking agent and water or a mixed solution consisting of water and a water-soluble organic solvent, and further comprises an amine compound.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: July 15, 2008
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Kiyohisa Takahashi, Yusuke Takano
  • Patent number: 7387868
    Abstract: A method of passivating silicon-oxide based low-k materials using a supercritical carbon dioxide passivating solution comprising a silylating agent is disclosed. The silylating agent is preferably an organosilicon compound comprising organo-groups with five carbon atoms such as hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS) and combinations thereof. In accordance with further embodiments of the invention, a post ash substrate comprising a dielectric material is simultaneously cleaned and passivated using a supercritical carbon dioxide cleaning solution.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: June 17, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Gunilla Jacobson, Deborah Yellowaga
  • Patent number: 7371507
    Abstract: Methods for fabricating semiconductor devices are disclosed. A disclosed method comprises: forming a conductive layer, depositing a interlayer dielectric layer, forming an anti-reflective coating layer on the interlayer dielectric layer, forming a photoresist pattern on the anti-reflection layer, dry-etching the anti-reflective coating layer and the interlayer dielectric layer using the photoresist pattern as a mask and performing an Ar and fluoric plasma treatment to remove a residual layer deposited during the etching of the ARC layer and the ILD.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: May 13, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Hak Myung
  • Patent number: 7364837
    Abstract: Photoresist cleaning solutions are used to clean semiconductor substrates before or after an exposing step when photoresist patterns are formed. The cleaning solutions include H2O and a nonionic surfactant compound represented by Formula 1. By spraying the disclosed cleaning solutions on a surface of the semiconductor substrate before or after exposing step to form a photoresist pattern, the desired pattern only is obtained and unnecessary patterns generated in undesired regions by ghost images are avoided as excess acid generated by the photoacid generator is neutralized and removed and damage to unexposed portions of the photoresist polymer is avoided. wherein R1 and R2 are independently H, C1-C20 alkyl, C5-C25 alkyl aryl or C1-C10 ester; m is 1 or 2; n is an integer ranging from 10 to 300; and o is 0 or 1.
    Type: Grant
    Filed: August 7, 2007
    Date of Patent: April 29, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Won Wook Lee, Geun Su Lee, Sam Young Kim
  • Patent number: 7351514
    Abstract: A method in which a resist layer is applied to a base layer is disclosed. The resist layer includes an adhesive material, and the adhesive force of the adhesive material decreases or increases during an irradiation process. Residues of the resist layer may be stripped using the disclosed method.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: April 1, 2008
    Assignee: Infineon Technologies, Inc.
    Inventors: Werner Kröninger, Manfred Schneegans
  • Publication number: 20080076076
    Abstract: In one embodiment, a method of manufacturing an integrated circuit that comprises forming a circuit layer over a substrate, forming a resist layer on the circuit layer, and subjecting the resist layer to a rework process that includes exposing the resist layer to an organic wash. In another embodiment, the method of manufacturing an integrated circuit comprises forming a circuit layer over a substrate, forming a priming layer on the circuit layer, and subjecting the resist layer to the rework process. The reworking process includes exposing the substrate to a mild plasma ash to substantially remove portions of the resist layer but leave the priming layer.
    Type: Application
    Filed: September 22, 2006
    Publication date: March 27, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Yaw Samuel Obeng, Yu-Tai Lee, Rajesh Khamankar, April Gurba, Brian Kirkpatrick, Ajith Varghese
  • Patent number: 7338751
    Abstract: An object of the present invention is to provide a process for producing a printed wiring board, which is advantageous not only in that the reduction in size and increase in density of the wiring board are achieved and further the steps are simplified, but also in that the connection reliability of mount parts and the yield are improved, and a photosensitive resin composition used in the process.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: March 4, 2008
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Toshihiko Akahori, Ken Sawabe, Michiko Natori, Tomoaki Aoki, Takuya Kajiwara
  • Patent number: 7324264
    Abstract: This invention relates to a method of manufacturing micro-cell arrays. Such an array may find use in a number of applications such as, for example, a video display, electronic paper, and signage. The microcell arrays find particular use in electromodulating displays.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: January 29, 2008
    Assignee: Eastman Kodak Company
    Inventors: Peter T. Aylward, Thomas M. Smith, Leonard S. Gates, Daniel A. Slater
  • Patent number: 7303855
    Abstract: An undercoat-forming material comprising a novolak resin having a fluorene or tetrahydrospirobiindene structure, an organic solvent, an acid generator, and a crosslinker, optionally combined with an intermediate layer having an antireflective effect, has an absorptivity coefficient sufficient to provide an antireflective effect at a thickness of at least 200 nm and a high etching resistance as demonstrated by slow etching rates with CF4/CHF3 and Cl2. BCl3 gases for substrate processing.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Satoshi Watanabe
  • Publication number: 20070262300
    Abstract: Provided is a method of forming a fine pattern having a pattern dimension of 1 ?m or less, repeatedly with reproducibility. The method of forming the fine pattern includes: forming an azobenzene-functionalized polymer film on an etched layer; irradiating the azobenzene-functionalized polymer film using an interference laser beam to form a patterned azobenzene-functionalized polymer film having fine-patterned surface relief gratings by a photophysical mass transporting of the azobenzene-functionalized polymer; etching the etched layer using the azobenzene-functionalized polymer film having the surface relief grating patterns as an etching mask; and removing the patterned azobenzene-functionalized polymer film.
    Type: Application
    Filed: March 7, 2007
    Publication date: November 15, 2007
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Jae-hee CHO, Cheol-soo SONE, Dong-yu KIM, Hyun-gi HONG, Seok-soon KIM
  • Patent number: 7291565
    Abstract: A method and system is described for treating a substrate with a high pressure fluid, such as carbon dioxide in a supercritical state. A process chemistry is introduced to the high pressure fluid for treating the substrate surface. The process chemistry comprises fluorosilicic acid.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: November 6, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Brandon Hansen, Marie Lowe
  • Patent number: 7270941
    Abstract: A method of passivating silicon-oxide based low-k materials using a supercritical carbon dioxide passivating solution comprising a silylating agent is disclosed. The silylating agent is preferably an organosilicon compound comprising organo-groups with five carbon atoms such as hexamethyldisilazane (HMDS) and chlorotrimethylsilane (TMCS) and combinations thereof. The silicon oxide-based low-k material, in accordance with embodiments of the invention, is maintained at temperatures in a range of 40 to 200 degrees Celsius, and preferably at a temperature of about 150 degrees Celsius, and at pressures in a range of 1,070 to 9,000 psi, and preferably at a pressure of about 3,000 psi, while being exposed to the supercritical passivating solution. In accordance with further embodiments of the invention, a silicon oxide-based low-k material is simultaneously cleaned and passivated using a supercritical carbon dioxide cleaning solution.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: September 18, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Dorel Ioan Toma, Paul Schilling
  • Publication number: 20070202446
    Abstract: In the step of removing the photo-resist film formed on a substrate, dry ice particles, with a predetermined particle size, are blasted onto the photo-resist film at a predetermined pressure in a state of heating the substrate at room temperature or higher, such as 30 to 200° C., preferably at about 100° C.
    Type: Application
    Filed: June 2, 2006
    Publication date: August 30, 2007
    Applicant: FUJITSU LIMITED
    Inventors: Osamu Takahashi, Tetsuo Yaegashi
  • Patent number: 7241541
    Abstract: A method is provided for improving layer to layer overlay of a second layer pattern on a first layer pattern formed in a substrate. A plurality of first reference marks is placed inside a pattern area on a first layer mask which is used to form the first layer pattern. A plurality of second reference marks is placed on a second layer mask which is used to form the second layer pattern and in which one second reference mark is matched with a first reference mark having the same (x,y) coordinates. Reference mark placement in the resulting first and second layer patterns is determined by metrology to determine an x-deviation and a y-deviation for each matched pair of reference marks. A correction algorithm is then used to calculate adjustments in exposure tool settings for improved overlay of the second layer pattern on the first layer pattern in subsequent exposures.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: July 10, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Fei-Gwo Tsai
  • Patent number: 7238454
    Abstract: In a method of producing a photomask blank, comprising a thin film forming step of forming, on a rectangular substrate, a thin film for causing an optical change in exposure light, a resist application step of applying a positive resist on the thin film, a baking step of heat treating the resist applied on the thin film, and a removing step of removing a resist film formed in a portion of the edge of the substrate, the removing step is carried out by exposing the resist film in the portion of the edge of the substrate after the resist application step and before the heat treating step so that, upon development subsequently carried out in the removing step, a difference in solubility or dissolving speed in a developer is obtained between an exposed area and an unexposed area and by selectively supplying the developer to the exposed area.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: July 3, 2007
    Assignee: Hoya Corporation
    Inventors: Hideo Kobayashi, Keishi Asakawa
  • Patent number: 7235479
    Abstract: A method of fabricating a semiconductor device. The method comprises creating a via in a dielectric layer that is formed on a substrate, filling the via, and optionally, the surface of the dielectric layer with a sacrificial material, patterning a first photoresist layer on the sacrificial material to define a trench for the semiconductor device, removing the first photoresist layer without affecting the sacrificial material, repatterning a second photoresist layer on the sacrificial material to define the trench for the semiconductor device, forming the trench, and removing the second photoresist layer and the sacrificial material completely after the trench is formed.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: June 26, 2007
    Assignee: Applied Materials, Inc.
    Inventor: Steven Verhaverbeke
  • Patent number: 7226705
    Abstract: In a method of removing a useless film formed along a circumferential portion of a substrate, so as to provide a removed portion, a cover member is covered over the substrate to supply a solvent to the useless portion through solvent supply holes. The circumferential portion which has the removed portion and a non-removed portion serves to provide an identification code or the like for identifying the substrate. The solvent supply holes are formed in a solvent guide member which is exchangeably attached to a peripheral portion of the cover member. The substrate may be either a mask blank or a mask that has the identification pattern formed by removing the useless film by the above-mentioned method.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: June 5, 2007
    Assignee: Hoya Corporation
    Inventor: Mitsuaki Hata
  • Patent number: 7223519
    Abstract: Imaging compositions and methods of using the compositions are disclosed. The imaging compositions are sensitive to low levels of energy such that upon application of the low levels of energy the compositions change color or shade. The compositions may be applied to a work piece to mark it and removed from the work piece by peeling.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: May 29, 2007
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Robert K. Barr, Corey O'Connor
  • Patent number: 7214473
    Abstract: A photo-resist mask of organic compound is stripped off after the pattern transfer to a layer thereunder, wherein the photo-resist mask is firstly exposed to vapor of organic solvent for reducing the thickness through a reflow, and, thereafter, the photo-resist mask is ashed in an oxygen plasma, whereby the dry ashing is completed within a short time period by virtue of the reduction of thickness.
    Type: Grant
    Filed: February 9, 2004
    Date of Patent: May 8, 2007
    Assignee: NEC LCD Technologies Ltd.
    Inventor: Shusaku Kido
  • Patent number: 7202175
    Abstract: The present invention discloses a technique of removing a substance from a substrate surface, such as stripping photoresist from a wafer, or forming a substance on a substrate surface. Substrates to be treated are parallel arranged at an equal interval and are immersed in a liquid with only a lower portion thereof being below the liquid surface. Gas such as ozone is introduced into the liquid and is continuously bubbling below the substrates. The bubbles will ascend between two adjacent substrates and climb on the surfaces of the substrates before they burst. The liquid boundary layers on the substrate surfaces are compressed and refreshed in the course of a dragging ascent of the bubbles, enhancing mass transfer between gas/liquid/solid substances across the liquid boundary layer, thereby resulting in a fast reaction and a fast treatment of the surface of the substrates.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: April 10, 2007
    Assignee: Industrial Technology Research Institute
    Inventors: Kon-Tsu Kin, Chiou-Mei Chen, Jen-Chung Lou, Ching-Yi Hsu, Farhang Shadman
  • Patent number: 7169540
    Abstract: A device, method, and system for treating low-k dielectric material films to reduce damage during microelectronic component cleaning processes is disclosed. The current invention cleans porous low-k dielectric material films in a highly selectivity with minimal dielectric material damage by first treating microelectronic components to a passivating process followed by a cleaning solution process.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: January 30, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Paul Schilling
  • Patent number: 7166419
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: January 23, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: 7144676
    Abstract: Imaging compositions and methods of using the compositions are disclosed. The imaging compositions are sensitive to low levels of energy such that upon application of the low levels of energy the compositions change color or shade. The compositions may be applied to a work piece to mark it and removed from the work piece by peeling.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: December 5, 2006
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Robert K. Barr, Corey O'Connor
  • Patent number: 7144673
    Abstract: The present subject matter relates to a method of stripping a photoresist after the photoresist film has been subjected to a high dose and high energy ion implant process. The method involves soaking the photoresist film in DI water, dry etching with oxygen plasma, and immersing in Caro's acid solution to improve the throughput of removing the film from the underlying substrate. The method can also be used to strip photoresist that has been hardened or altered by other types of processes such as dry etch transfer steps and chemical treatments. In some applications, the dry etching step may be omitted from the stripping process or the dry etching step may be combined with the water soak in an integrated process.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: December 5, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Fei-Yun Chen, Jen-Shian Shieh, Hao-Chih Yuan, Yuan-Ko Hwang, Shih-Shiung Chen
  • Patent number: 7141513
    Abstract: After ion implantation, thermal ashing is performed using ozone at a pressure of between about 0.01 to about 1000 Torr at below 1000° C. to remove the resist. Since the process includes a substantial amount of ozone, the resist can be completely oxidized, thus leaving no residue or other contaminates to remain on the substrate. Using ozone allows fast resist removal with minimal residue at low temperatures.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: November 28, 2006
    Assignee: WaferMasters, Inc.
    Inventor: Woo Sik Yoo
  • Patent number: 7129029
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: October 31, 2006
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: 7129028
    Abstract: In a method of forming a holographic grating, a photoresist layer is formed on an optical substrate, and a resist pattern is formed in the photoresist layer to have grooves depth deeper than a predetermined depth of diffraction grating grooves to be formed. Then, the photoresist layer with the resist pattern is etched by an ion beam generated by a mixed gas containing a fluorine based gas and oxygen until the resist pattern is substantially completely disappears. Thus, the diffraction grating grooves having the predetermined depth are directly engraved on the optical glass plate.
    Type: Grant
    Filed: June 12, 2003
    Date of Patent: October 31, 2006
    Assignee: Shimadzu Corporation
    Inventors: Masaru Koeda, Yuji Tanaka, Akio Soejima
  • Patent number: 7090965
    Abstract: A method for enhancing adhesion between a reworked photoresist and an underlying oxynitride film. A photoresist pattern layer is formed on an oxynitride layer overlying a substrate. The photoresist pattern layer is removed by acidic solution or oxygen-containing plasma. A surface treatment is performed on the oxynitride layer using a development solution to repair the damaged oxynitride layer due to removing the overlying photoresist pattern layer. A reworked photoresist pattern layer is formed on the oxynitride layer.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: August 15, 2006
    Assignee: Nanya Technology Corporation
    Inventors: Wen-Bin Wu, Yuan-Shan Wu, Yi-Nan Chen, Teng-Yen Huang
  • Patent number: 7091255
    Abstract: A method of multiphoton photosensitizing a photoreactive composition comprises irradiating the composition with light sufficient to cause simultaneous absorption of at least two photons, thereby inducing at least one acid- or radical-initiated chemical reaction where the composition is exposed to the light. The composition comprises: (a) at least one reactive species that is capable of undergoing such reaction; and (b) at least one multi-component, multiphoton photoinitiator system.
    Type: Grant
    Filed: October 13, 2004
    Date of Patent: August 15, 2006
    Assignee: 3M Innovative Properties Company
    Inventor: Robert J. DeVoe
  • Patent number: 7083903
    Abstract: Methods of etching a carbon-rich layer on organic photoresist overlying an inorganic layer can utilize a process gas including CxHyFz, where y?x and z?0, and one or more optional components to generate a plasma effective to etch the carbon-rich layer with low removal of the inorganic layer. The carbon-rich layer can be removed in the same processing chamber, or alternatively can be removed in a different processing chamber, as used to remove the bulk photoresist.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: August 1, 2006
    Assignee: Lam Research Corporation
    Inventors: Erik A. Edelberg, Robert P. Chebi, Gladys Sowan Lo
  • Patent number: 7081326
    Abstract: A negative photoresist composition and a method of patterning a substrate through use of the negative photoresist composition. The composition includes: a radiation sensitive acid generator; a multihydroxy-containing additive; and a resist polymer comprising a first repeating unit from a first monomer. The resist polymer may also comprise a second repeating unit from a second monomer, wherein the second monomer has an aqueous base soluble moiety. The multihydroxy-containing additive has the structure Q-(OH)m, where Q may include at least one alicycic group and m may be any integer between 2 and 6. The acid generator is adapted to generate an acid upon exposure to radiation. The resist polymer is adapted to chemically react with the additive in the presence of the acid to generate a product that is insoluble in a developer solution.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: July 25, 2006
    Assignee: International Business Machines Corporation
    Inventors: Wenjie Li, Pushkara R. Varanasi
  • Patent number: 7078161
    Abstract: A low temperature plasma ashing process for use with substrates comprising a ferroelectric material. The process generally includes plasma ashing the photoresist and residues at a temperature of about room temperature to about 140° C., wherein the plasma is generated from a gas mixture consisting essentially of hydrogen and an inert gas, and wherein the ferroelectric material is exposed to the plasma.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: July 18, 2006
    Assignees: Axcelis Technologies, Inc., Intel Corporation
    Inventors: Carlo Waldfried, Qingyuan Han, Orlando Escorcia, Ebrahim Andideh
  • Patent number: 7078157
    Abstract: A composition that comprises a photopolymerizable compound containing at least two pendant unsaturated groups; at least one ethylenically unsaturated photopolymerizable polyalkylene oxide hydrophilic monomer; at least one nonionic surfactant; and at least one photoinitiator is provided. The composition also preferably contains at least one amine modified acrylic oligomer and a dye. Other conventional photoresist components such as photosensitizers, adhesion promoters, leveling agents and solvents may also be included in the composition. Such compositions are useful for forming a pattern on a substrate, such as patterning microlithographic circuits on a substrate.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: July 18, 2006
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Hong Zhuang, Joseph E. Oberlander, Ping-Hung Lu, Stanely F. Wanat, Robert R. Plass
  • Patent number: 7074726
    Abstract: In a substrate treating unit, a removal liquid supplying mechanism supplies a removal liquid to the surface of a substrate. In order to retain the removal liquid on the surface of the substrate for a fixed time, a spin chuck is operated to spin the substrate at such a low speed as to retain the removal liquid on the substrate, or spins the substrate intermittently, or temporarily stops spinning of the substrate. Thus, treatment with the removal liquid progresses without a further supply of the removal liquid, thereby restraining consumption of the removal liquid.
    Type: Grant
    Filed: January 30, 2003
    Date of Patent: July 11, 2006
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Hiroaki Sugimoto, Takeshi Yoshida, Hiroshi Kato, Takuya Kuroda, Tadashi Sasaki
  • Patent number: 7070915
    Abstract: A method and system is described for drying a thin film on a substrate following liquid immersion lithography. Drying the thin film to remove immersion fluid from the thin film is performed prior to baking the thin film, thereby reducing the likely hood for interaction of immersion fluid with the baking process. This interaction has been shown to cause non-uniformity in critical dimension for the pattern formed in the thin film following the developing process.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: July 4, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Chung-Peng Ho, Kathleen Nafus, Kaz Yoshioka, Richard Yamaguchi
  • Patent number: 7060422
    Abstract: An apparatus for supercritical processing and non-supercritical processing of a workpiece comprises a transfer module, a supercritical processing module, a non-supercritical processing module, and a robot. The transfer module includes an entrance. The supercritical processing module and the non-supercritical processing module are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a workpiece from the entrance of the transfer module to the supercritical processing module. After supercritical processing, the robot then transfers workpiece from the supercritical processing module to the non-supercritical processing module. After the non-supercritical processing, the robot returns the workpiece to the entrance of the transfer module. Alternatively, the non-supercritical processing is performed before the supercritical processing.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: June 13, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 7018776
    Abstract: Stable non-photosensitive polyimide precursor compositions with an adhesion promoter in a non-NMP solvent for use in forming high temperature resistant relief images and a process for making said images.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: March 28, 2006
    Assignee: Arch Specialty Chemicals, Inc.
    Inventors: Ilya Rushkin, Ahmad A. Naiini, William D. Weber, Don Perry, Richard Hopla
  • Patent number: 7018748
    Abstract: In a process for producing hard masks, an initiator layer that contains an initiator component is applied to a substrate. Then, a photoresist is used to produce a pattern on the initiator layer, in the trenches of which pattern the initiator layer is uncovered. Then, a curable hard mask material is applied and selectively cured, so that only those sections of the hard mask material that adjoin the initiator layer are cured. Finally, uncured hard mask material is removed using a solvent, and at the same time the lands formed from the resist are also removed. The pattern obtained in this way can then be transferred to the substrate, for example using plasma.
    Type: Grant
    Filed: April 29, 2003
    Date of Patent: March 28, 2006
    Assignee: InfineonTechnologies AG
    Inventors: Michael Sebald, Ernst-Christian Richter
  • Patent number: 7011935
    Abstract: Processes to overcome mistakes, such as, incorrect film thicknesses, poor coating quality, and incorrect feature dimensions made during the lithographic process in multilayer imaging systems are provided. To optimize manufacturing efficiency, it is desirable to be able to remove the top layer (an imaging layer) without having to remove, recoat, and usually cure the bottom layer (undercoat or underlayer). A rework process for removing an imaging layer from a substrate stack is such a process. The stack comprises a substrate, an underlayer adjacent to the substrate, and an imaging layer comprising silicon adjacent to the underlayer.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: March 14, 2006
    Assignee: Arch Specialty Chemicals, Inc.
    Inventors: Karin Schlicht, John Biafore, Mario Reybrouck
  • Patent number: 7008866
    Abstract: Large-scale trimming for forming ultra-narrow gates for semiconductor devices is disclosed. A hard mask layer on a semiconductor wafer below a patterned soft mask layer on the semiconductor wafer is etched to narrow a width of the hard mask layer. The hard mask layer is trimmed to further narrow the width of the hard mask layer, where the soft mask layer has been removed. At least a gate electrode layer below the hard mask layer on the semiconductor wafer is etched, resulting in the gate electrode layer having a width substantially identical to the width of the hard mask layer as trimmed. The gate electrode layer as etched forms the ultra-narrow gate electrode on the semiconductor wafer, where the hard mask layer has been removed.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: March 7, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co Ltd.
    Inventors: Ming-Jie Huang, Shu-Chih Yang, Huan-Just Lin, Yung-Tin Chen, Hun-Jan Tao
  • Patent number: 6998225
    Abstract: A method of producing a compound semiconductor device using a lift-off process. The lift-off process includes forming a resist mask having an electrode opening on an active layer of a compound semiconductor that is on a substrate of a compound semiconductor; forming a metal layer on the resist mask and the active layer in the electrode opening; and dissolving the resist mask and removing the metal layer on the resist mask, leaving the metal layer on the active layer in the electrode opening as an electrode. The resist mask is removed sufficiently by using a resist remover consisting essentially of at least one compound selected from an amine-including compound and nitrogen-including cyclic compounds so that the residual resist mask need not be removed by ashing.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: February 14, 2006
    Assignees: Mitsubishi Denki Kabushiki Kaisha, EKC Technology Kabushiki Kaisha
    Inventors: Akiyoshi Kudo, Hiroshi Kobayashi, Takanori Matsumoto
  • Patent number: 6994950
    Abstract: MEMs devices are integrally fabricated with included micro or nanoparticles by providing a mixture of a sacrificial material and a multiplicity of particles, disposing the mixture onto a substrate, fabricating a MEMs structure on the substrate including at least part of the mixture, so that at least some of the mixture is enclosed in the MEMs structure, removing the sacrificial material, and leaving at least some of the multiplicity of particles substantially free and enclosed in the MEMs structure. The step of fabricating a MEMs structure is quite general and is contemplated as including one or a multiplicity of additional steps for creating some type of structure in which the particles, which may be microbeads or nanobeads, are included. A wide variety of useful applications for MEMs integrated with micro or nanoparticles are available.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: February 7, 2006
    Assignee: California Institute of Technology
    Inventors: Yu-Chong Tai, Qing He
  • Patent number: 6953654
    Abstract: Contaminant removal from a substrate can be performed using a supercritical fluid. An apparatus can be configured to operate at conditions that take advantage of higher solubility of a contaminant in its supercritical state compared to its liquid state. The substrate can be exposed to a supercritical fluid in a chamber to remove at least some of the contaminant. Outside the chamber, the supercritical fluid can be cooled to its corresponding liquid state, in which lower solubility of the contaminant may allow the contaminant to separate into a different phase from the liquid phase of the supercritical fluid. Such contaminant removal can be highly advantageous to substrates that withstand only limited amounts of physical or mechanical stress or heat. The contaminant removal can also be used where geometries virtually prevent removal by physical or mechanical means.
    Type: Grant
    Filed: March 14, 2002
    Date of Patent: October 11, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Nicholas A. Ryza, Allan W. Awtrey
  • Patent number: 6933098
    Abstract: This invention relates to an electrophoretic display or a liquid crystal display and novel processes for its manufacture. The electrophoretic display (EPD) of the present invention comprises microcups of well-defined shape, size and aspect ratio and the microcups are filled with charged pigment particles dispersed in an optically contrasting dielectric solvent. The liquid crystal display (LCD) of this invention comprises well-defined microcups filled with at least a liquid crystal composition having its ordinary refractive index matched to that of the isotropic cup material. A novel roll-to-roll process and apparatus of the invention permits the display manufacture to be carried out continuously by a synchronized photo-lithographic process.
    Type: Grant
    Filed: February 15, 2001
    Date of Patent: August 23, 2005
    Assignee: SiPix Imaging Inc.
    Inventors: Mary Chan-Park, Xianhai Chen, Zarng-Arh George Wu, Xiaojia Wang, Hong-Mei Zang, Rong-Chang Liang
  • Patent number: 6933099
    Abstract: A method of forming a patterned layer on a substrate including depositing a notched or undercut resist pattern to define at least one recess in the photoresist, with the notch or undercut circumjacent the base of the recess, sputtering a material into the recess and removing the resist and the material deposited on the resist characterised in that the aspect ratio of the recess and height of the mouth of the notch or undercut are such that the notch or undercut lies substantially in the shadow beneath the resist, the layer deposited upon it and the layer at the base of the recess in respect of any sputtered particle travelling in a straight line through the mouth of the recess such that material deposited on the walls of the recesses is not continuous with material deposited on the base of the recess.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: August 23, 2005
    Assignee: Trikon Holdings Limited
    Inventors: James Francis O'Sullivan, Stephen Robert Burgess
  • Patent number: 6929903
    Abstract: A developing method comprises determining in advance the relation of resist dissolution concentration in a developing solution and resist dissolution speed by the developing solution, estimating in advance the resist dissolution concentration where the resist dissolution speed is a desired speed or more from the relation, and developing in a state in which the resist dissolution concentration in the developing solution is the estimated dissolution concentration or less.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: August 16, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masamitsu Itoh, Ikuo Yoneda, Hideaki Sakurai
  • Patent number: 6929902
    Abstract: A method of preventing repeated collapse in a reworked photoresist layer. First, oxygen-containing plasma is applied to remove a collapsed photoresist. Because the plasma containing oxygen reacts with a bottom anti-reflect layer comprising SiOxNy, some acids are produced on the bottom anti-reflect layer, resulting in undercutting in a subsequently reworked photoresist. Next, an alkaline solution treatment is performed on the anti-reflect layer after the collapsed photoresist layer is removed. Finally, the reworked photoresist with is formed on the anti-reflect layer, without undercutting.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: August 16, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Yuan-Hsun Wu, Teng-Yen Huang, Wen-Bin Wu, Yi-Nan Chen
  • Patent number: 4967811
    Abstract: A device is described for accurately transferring multiple individual fluids from multiple source containers into a single receiving container. Fluid flows from the multiple source containers through individual fluid conduits to a chamber having a single fluid outlet conduit. The fluid outlet conduit is in fluid communication with a single receiving container. A pressure conduit is in communication with the chamber for alternately creating positive and negative pressures in the chamber to cause fluid to flow from the individual source containers into the chamber, and to cause fluid to flow from the chamber into the receiving container in response to commands from a control means in the device.
    Type: Grant
    Filed: October 17, 1988
    Date of Patent: November 6, 1990
    Assignee: Clintec Nutrition Company
    Inventors: Aleandro DiGianfilippo, James R. Hitchcock, Robert E. Lewis, Randall A. Zielsdorf, James P. Vos, Rudolph Starai, Michael J. Becker, Donald W. Warner, Leon Huang