Electron Beam Patents (Class 430/942)
  • Patent number: 8119335
    Abstract: The present invention provides methods and apparatus for selectively patterning surfaces using radical species generated with a photocatalyst. The photocatalyst may comprise a photocatalytic semiconductor or a photosensitizer. The radical species are brought into contact with an oxidizable coating disposed on the surface, thereby locally oxidizing and selectively patterning the surface. The photocatalyst is preferably disposed on a delivery device, such as a stamp, mask, or scanning probe, that is brought into close proximity or contact with the coated surface. The photocatalyst is then excited in a manner capable of generating radical species, for example, oxygen-containing radical species, in appropriate media. It is expected that these radical species will be transferred to the coated surface along a substantially shortest distance path, thereby locally oxidizing and patterning the surface.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: February 21, 2012
    Inventors: Jane P. Bearinger, Jeffrey A. Hubbell, Kenneth J. Michlitsch
  • Patent number: 8110322
    Abstract: The invention provides a method for forming a selective mask on a surface of a layer of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z (0?X?1, 0?Y?1, 0?Z?1), which is a method for forming a mask with a minute width suitable for microfabrication in nano-order. (1) An energy beam 4a, 4b is selectively irradiated onto a natural oxide layer 2 formed on the surface of the layer 1 of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z. (2) Of the natural oxide layer 2, parts other than parts onto which the energy beam 4a, 4b has been irradiated is removed by heating. (3) The natural oxide layer 2 of the parts onto which the energy beam 4a, 4b has been irradiated is partially removed by heating while alternatively carrying out a rise and fall in heating temperature.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: February 7, 2012
    Assignee: Riber
    Inventors: Naokatsu Sano, Tadaaki Kaneko
  • Patent number: 8110339
    Abstract: Multi-tone resists can enhance the resolution limit of a lithographic process by advantageously using the changeable solubility of a resist composition as a function of lithographic radiation dosage. By imaging a multi-tone resist with different doses of lithographic radiation in a selected pattern, the pattern can be imparted to the resist upon subsequent development of the resist. In some aspects, a resist composition is utilized having an aliphatic polymer (e.g., a copolymer with fluoropolymer units and/or methacrylate units) with acid labile groups and a plurality of crosslinkable groups that can be crosslinked to other portions of the aliphatic polymer. Other components such as base generators and/or crosslinking agents can also be included. Such compositions can be useful in extending the resolution of UV lithographic radiation processes (e.g., wavelengths less than 200 nm). Other aspects of such compositions and methods are also discussed.
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: February 7, 2012
    Assignee: Massachusetts Institute of Technology
    Inventor: Theodore H. Fedynyshyn
  • Patent number: 8105754
    Abstract: A method for electron beam nanolithography without the need for development step involves depositing a film of a resist comprising functionalized fullerenes on a substrate, and writing features by exposure to an electron beam with an accelerating voltage and dose rate sufficient to promote heating or thermal degradation of the functionalized fullerene in the irradiated volume such that a pattern is generated without a subsequent development step or with an aqueous developer. Lithographic features of about 1 nm or greater can be formed.
    Type: Grant
    Filed: January 5, 2009
    Date of Patent: January 31, 2012
    Assignee: University of Florida Research Foundation, Inc.
    Inventors: Amit Kumar Singh, Vijay Krishna, Brij M. Moudgil, Benjamin L. Koopman
  • Patent number: 8105748
    Abstract: A polymerizable anion-containing sulfonium salt having formula (1) is provided wherein R1 is H, F, methyl or trifluoromethyl, R2, R3 and R4 are C1-C10 alkyl, alkenyl or oxoalkyl or C6-C18 aryl, aralkyl or aryloxoalkyl, or two of R2, R3 and R4 may bond together to form a ring with S, A is a C2-C20 hydrocarbon group having cyclic structure, and n is 0 or 1. The sulfonium salt generates a very strong sulfonic acid upon exposure to high-energy radiation. A resist composition comprising a polymer derived from the sulfonium salt is also provided.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: January 31, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Youichi Ohsawa, Takeshi Kinsho, Jun Hatakeyama, Seiichiro Tachibana
  • Patent number: 8101337
    Abstract: Provided is a method of synthesizing an ITO electron beam resist and a method of forming an ITO pattern. The ITO electron beam resist is synthesized by dissolving indium chloride tetrahydrate and tin chloride dihydrate in 2-ethoxy ethanol. The method of forming an ITO pattern includes: forming an ITO electron beam resist film on a substrate, forming an ITO electron beam resist pattern by patterning the ITO electron beam resist film, and forming an ITO pattern by annealing the ITO electron beam resist pattern.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: January 24, 2012
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Ki-Chul Kim, Sung-Lyul Maeng, Sung-Jin Shin, Dae-Joon Kang
  • Patent number: 8084183
    Abstract: A positive resist composition for electron beam, X-ray or EUV includes (A) a compound represented by the following formula (I), and (B) a resin capable of decomposing by the action of an acid to increase solubility in an alkali developing solution, which includes a repeating unit represented by the following formula (II) and a repeating unit represented by the following formula (III):
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: December 27, 2011
    Assignee: Fujifilm Corporation
    Inventors: Katsuhiro Yamashita, Yasutomo Kawanishi
  • Patent number: 8062813
    Abstract: In the field of semiconductor device production, a method for manufacturing a surface using two-dimensional dosage maps is disclosed. A set of charged particle beam shots for creating an image on the surface is determined by combining dosage information such as dosage maps for a plurality of shots into the dosage map for the surface. A similar method is disclosed for fracturing or mask data preparation of a reticle image.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: November 22, 2011
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8062828
    Abstract: A positive resist composition comprises a polymer comprising recurring units having a sulfonium salt incorporated therein as a base resin which becomes soluble in alkaline developer under the action of acid. The polymer generates a strong sulfonic acid upon exposure to high-energy radiation so as to facilitate effective scission of acid labile groups in the resist composition.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: November 22, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Takeshi Kinsho, Masaki Ohashi, Seiichiro Tachibana, Takeru Watanabe, Jun Hatakeyama
  • Patent number: 8057985
    Abstract: A polymerizable anion-containing sulfonium salt having formula (1) is provided wherein R1 is H, F, methyl or trifluoromethyl, R2, R3 and R4 are C1-C10 alkyl, alkenyl or oxoalkyl or C6-C18 aryl, aralkyl or aryloxoalkyl, or two of R2, R3 and R4 may bond together to form a ring with S, A is a C1-C20 organic group, and n is 0 or 1. The sulfonium salt generates a very strong sulfonic acid upon exposure to high-energy radiation. A resist composition comprising a polymer derived from the sulfonium salt is also provided.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: November 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Takeshi Kinsho, Youichi Ohsawa, Jun Hatakeyama, Seiichiro Tachibana
  • Patent number: 8057981
    Abstract: A polymer obtained through copolymerization of a monomer having a hexafluoroalcohol pendant and a monomer having a hexafluoroalcohol pendant whose hydroxyl moiety has been protected is useful as an additive to a photoresist composition and as a protective coating material for immersion lithography. When processed by immersion lithography, the resist composition and protective coating composition exhibit good water repellency and water slip and produce few development defects.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: November 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yuji Harada, Jun Hatakeyama, Kazunori Maeda, Tomohiro Kobayashi
  • Patent number: 8057970
    Abstract: A method for forming circular patterns on a surface using a character projection (CP) charged particle beam writer is disclosed, wherein circular patterns of different sizes may be formed using a single CP character, by varying dosage. A method for forming circular patterns on a surface using a variable shaped beam (VSB) charged particle beam writer is also disclosed, wherein the dosages of the shots may vary, and wherein the union of the shots is different than the set of target patterns. A method for forming circular patterns on a surface using a library of glyphs is also disclosed, wherein the glyphs are pre-calculated dosage maps that can be formed by one or more charged particle beam shots.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: November 15, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8057972
    Abstract: The invention relates to a method for forming a pattern on a substrate surface of a target by means of a beam of electrically charged particles in a number of exposure steps, where the beam is split into a patterned beam and there is a relative motion between the substrate and the pattern definition means. This results in an effective overall motion of the patterned particle beam over the substrate surface and exposition of image elements on the substrate surface in each exposure step, wherein the image elements on the target are exposed to the beamlets multiply, namely several times during a number of exposure steps according to a specific sequence. The sequence of exposure steps of the image elements is arranged in a non-linear manner according to a specific rule from one exposure step to the subsequent exposure step in order to reduce the current variations in the optical column of the multi-beam exposure apparatus during the exposure of the pattern.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: November 15, 2011
    Assignee: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Adrian Bürli
  • Patent number: 8048610
    Abstract: A polymer comprising recurring units having formulae (1), (2) and (3) is provided as well as a chemically amplified resist composition comprising the same. R1 is H, F, CH3 or CF3, Rf is H, F, CF3 or C2F5, A is an optionally fluorine or oxygen-substituted divalent organic group, R2, R3 and R4 are alkyl, alkenyl, oxoalkyl, aryl, aralkyl or aryloxoalkyl, or may form a ring with the sulfur atom, N=0-2, R8 is H or alkyl, B is a single bond or optionally oxygen-substituted divalent organic group, a=0-3, b=1-3, and X is an acid labile group. The polymer generates a strong sulfonic acid which provides for effective cleavage of acid labile groups in a chemically amplified resist composition.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: November 1, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Jun Hatakeyama, Seiichiro Tachibana, Takeshi Kinsho
  • Patent number: 8048600
    Abstract: A parameter extracting method capable of accurately and effectively extracting parameters used for charged particle beam exposure. The method comprises the steps of forming an unknown parameter layer on a known parameter layer, forming a resist on the unknown parameter layer, subjecting the resist to exposure through patterns changed in an existing range, and extracting parameters of the unknown parameter layer using the exposure result. In the parameter extraction method, parameters of layers lower than the unknown parameter layer are known. Therefore, layer combinations to be considered and the number of experimental data can be drastically reduced. After parameter extraction of the unknown parameter layer, an unknown parameter layer is newly formed on the layer. Then, the parameter thereof is extracted in the same manner.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: November 1, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kozo Ogino
  • Patent number: 8043798
    Abstract: It is disclosed a method of forming fine patterns comprising: covering a substrate having photoresist patterns thereon made of a photoresist composition which is sensitive to high energy light rays with wavelength of 200 nm or shorter or electron beam radiation, with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely. The present invention provides a method of forming fine patterns whereby fine patterns having pattern width or diameter of 100 nm or shorter and being excellent in uniformity (in-plane uniformity), etc. can be formed by ultrafine processing using high energy light rays with wavelength of 200 nm or shorter or electron beams.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: October 25, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tsuyoshi Nakamura, Tasuku Matsumiya, Kiyoshi Ishikawa, Yoshiki Sugeta, Toshikazu Tachikawa
  • Patent number: 8039176
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed.
    Type: Grant
    Filed: November 14, 2009
    Date of Patent: October 18, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8031436
    Abstract: When performing writing on a substrate applied with a resist by rapidly vibrating electron beam in a direction orthogonal to a radial direction of the substrate and X-Y deflecting the electron beam while rotating the substrate in one direction, a long element is written by scanning the electron beam with the middle position of a 2-bit signal length as the center position of the electron beam so as to completely fill the area of the writing length reduced by a predetermined ratio and an unwritten portion of predetermined width remaining on each side of the long element with respect to a final 2-bit signal length on a magnetic disk medium.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: October 4, 2011
    Assignee: Fujifilm Corporation
    Inventors: Toshihiro Usa, Kazunori Komatsu
  • Patent number: 8017286
    Abstract: In the field of semiconductor device production, a method for manufacturing a surface using two-dimensional dosage maps is disclosed. A set of charged particle beam shots for creating an image on the surface is determined by combining dosage maps for a plurality of shots into the dosage map for the surface. A similar method is disclosed for fracturing or mask data preparation of a reticle image. A method for creating glyphs is also disclosed, in which a two-dimensional dosage map of one or more shots is calculated, and the list of shots and the calculated dosage map are stored for later reference.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: September 13, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable
  • Patent number: 8017289
    Abstract: A method is disclosed in which a plurality of variable shaped beam (VSB) shots is used to form a desired pattern on a surface. In this method some shots within the plurality of shots overlap each other. Additionally, the union of any subset of the plurality of shots differ from the desired pattern. In some embodiments, dosages of the shots vary with respect to each other. In other embodiments, an optimization technique may be used to minimize shot count. In yet other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots. The method of the present disclosure may be used, for example, in the process of manufacturing an integrated circuit by optical lithography using a reticle, or in the process of manufacturing an integrated circuit using direct write.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: September 13, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 8017288
    Abstract: A method for manufacturing a semiconductor device using a photomask and optical lithography is disclosed, wherein circular patterns on the semiconductor wafer are formed by using circular patterns on the photomask, which is manufactured using a charged particle beam writer. In one embodiment, circular patterns of varying sizes have been formed on the photomask using a single character projection (CP) character, by varying the charged particle beam dosage. A method for fracturing circular patterns is also disclosed, either using circular CP characters or using VSB shots wherein the union of the plurality of VSB shots is different than the set of desired patterns.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: September 13, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 7998658
    Abstract: A first resist film is formed on a substrate, and first pattern exposure is performed such that the first resist film is irradiated with exposure light through a first mask. Then, the first resist film is developed, thereby forming a first resist pattern out of the first resist film. Subsequently, a nano-carbon material is attached to the surface of the first resist pattern, and then a second resist film is formed on the substrate including the first resist pattern. Thereafter, second pattern exposure is performed such that the second resist film is irradiated with exposure light through a second mask. Then, the second resist film is developed, thereby forming a second resist pattern out of the second resist film.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: August 16, 2011
    Assignee: Panasonic Corporation
    Inventors: Masayuki Endou, Masaru Sasago
  • Patent number: 7993811
    Abstract: A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units, represented by formula (1). The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution and forms a pattern with a satisfactory mask fidelity and a minimal LER. Herein R1 is H or methyl, R2 is an acid labile group, R3 is CO2R4 when X is CH2, R3 is H or CO2R4 when X is O, R4 is a monovalent C1-C20 hydrocarbon group, and m is 1 or 2.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: August 9, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 7993813
    Abstract: A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: August 9, 2011
    Assignee: NexGen Semi Holding, Inc.
    Inventors: Jeffrey Scott, Michael Zani, Mark Bennahmias, Mark Mayse
  • Patent number: 7985514
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a shot determined for a shaped charged particle beam writer system comprises dragging the charged particle beam across a surface during the shot, so as to form a complex pattern in a single, extended shot. The dragging may be done with either variable shaped beam (VSB) or character projection (CP) shots. Methods for specifying in the shot data the path for the dragged shot are also disclosed. Other embodiments include using dragged shots with partial projection, varying the dragging velocity during a shot, and combining dragged shots with conventional shots. A method and system for creating glyphs which contain dragged shots is also disclosed.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: July 26, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable, Michael Tucker
  • Patent number: 7981575
    Abstract: A method for optical proximity correction (OPC) of a desired pattern for a substrate is disclosed in which a plurality of variable shaped beam (VSB) shots are determined which can form on a surface an OPC-corrected version of the desired substrate pattern. Shots within the plurality of VSB shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary with respect to each other. The union of the plurality of shots may deviate from the OPC-corrected version of the desired pattern for the substrate. In some embodiments, optimization may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots, that is, glyphs. A method for creating glyphs is also disclosed, in which patterns that would result on a surface from one or a group of VSB shots are pre-calculated.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: July 19, 2011
    Assignee: DS2, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 7977018
    Abstract: In the exposure data preparation method for charged particle beam exposure in which an exposure object is exposed while dose is adjusted for each pattern, the method including the steps of: classifying a pattern in terms of a target linewidth; setting a standard characteristic showing the relationship between a standard dose and a resultant linewidth of a resist pattern for a group of patterns having the target linewidth; and preparing exposure data by correcting a shape and dose so that a characteristic showing the relationship between dose of each pattern having the target linewidth and a resultant linewidth of a resist pattern follows the standard characteristic.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: July 12, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kozo Ogino, Yasuhide Machida
  • Patent number: 7972764
    Abstract: When writing elements of a fine pattern on a substrate applied with a resist by scanning an electron beam on the substrate, the electron beam is scan controlled so as to completely fill the shape of each of the elements by vibrating the electron beam rapidly in a radius direction of the rotation stage and at the same time deflecting in a direction orthogonal to the radius direction of the rotation stage faster than a rotational speed thereof, thereby sequentially writing the elements.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: July 5, 2011
    Assignee: Fujifilm Corporation
    Inventors: Kazunori Komatsu, Toshihiro Usa
  • Patent number: 7968259
    Abstract: In a multi-project-chip semiconductor device, semiconductor elements fabricated on a wafer have a layout that corresponds to an exposure order of a pattern of the semiconductor elements and that is based on information indicating manufacture conditions and the number of shots and are arranged such that the semiconductor elements having the same manufacture condition are adjacent to each other in ascending or descending order of the number of shots.
    Type: Grant
    Filed: December 2, 2008
    Date of Patent: June 28, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hiromi Hoshino, Takashi Maruyama
  • Patent number: 7965606
    Abstract: To provide a new recording method and recording apparatus with respect to an optical recording medium, the recording method and recording apparatus being able to deal with a high density of an optical recording medium of recent years. Recording of a recording track and a prepit is carried out by sequentially deflecting a single beam.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: June 21, 2011
    Assignee: Pioneer Corporation
    Inventor: Yoshiaki Kojima
  • Patent number: 7960095
    Abstract: Resist compositions having good footing properties even on difficult substrates are obtained by using a combination of base additives including a room temperature solid base, and a liquid low vapor pressure base. The compositions are especially useful on metal substrates such as chromium-containing layers commonly used in mask-making.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: June 14, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wayne M. Moreau, Marie Angelopoulos, Wu-Song Huang, David R. Medeiros, Karen E. Petrillo
  • Patent number: 7935464
    Abstract: A system and a method for self-aligned dual patterning are described. The system includes a platform for supporting a plurality of process chambers. An etch process chamber coupled to the platform. An ultra-violet radiation photo-resist curing process chamber is also coupled to the platform.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: May 3, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Christopher Siu Wing Ngai
  • Patent number: 7914954
    Abstract: Stencil masks, particle beam lithography characters and methods for designing the same for use in particle beam lithography are disclosed. The masks, characters and methods for designing them allows for more accurately writing images by reducing various chemical and physical effects, particularly Coulomb and proximity effects. Particle current reaching a surface is reduced by introducing shield areas, which preserve the shape and fidelity of the written image. The shape of the written image is further corrected by systematically adjusting the shape of the character or mask.
    Type: Grant
    Filed: September 9, 2008
    Date of Patent: March 29, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Takashi Mitsuhashi, Katsuo Komuro
  • Patent number: 7914970
    Abstract: An inorganic electron beam sensitive oxide layer is formed on a carbon based material layer or an underlying layer. The inorganic electron beam sensitive oxide layer is exposed with an electron beam and developed to form patterned oxide regions. An ultraviolet sensitive photoresist layer is applied over the patterned oxide regions and exposed surfaces of the carbon based material layer, and subsequently exposed with an ultraviolet radiation and developed. The combined pattern of the patterned ultraviolet sensitive photoresist and the patterned oxide regions is transferred into the carbon based material layer, and subsequently into the underlying layer to form trenches. The carbon based material layer serves as a robust mask for performing additional pattern transfer into the underlying layer, and may be easily stripped afterwards. The patterned ultraviolet sensitive photoresist, the patterned oxide regions, and the patterned carbon based material layer are subsequently removed.
    Type: Grant
    Filed: October 4, 2007
    Date of Patent: March 29, 2011
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C. Fuller, Michael A. Guillorn, Balasubramanian S. Pranatharthi Haran, Jyotica V. Patel
  • Patent number: 7906269
    Abstract: Disclosed is a fluorine-containing polymer compound containing first and second repeating units respectively represented by formulas (a-1) and (a-2), wherein R3 represents a fluorine atom or fluorine-containing alkyl group, each of W and W1 independently represents a bivalent linking group, R2 represents an acid-labile protecting group, each of R4, R5 and R6 independently represents a hydrogen atom, fluorine atom or monovalent organic group, and at least two of R4, R5, R6 and W or W1 may be combined to form a cyclic structure in formula (a-1) or (a-2). This polymer compound has a weight-average molecular weight of 1,000 to 1,000,000 and can provide a resist composition capable of forming a pattern with no swelling and no pattern falling down.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: March 15, 2011
    Assignee: Central Glass Company, Limited
    Inventors: Yoshimi Isono, Jonathan Joachim Jodry, Satoru Narizuka, Kazuhiro Yamanaka
  • Patent number: 7901850
    Abstract: A method for fracturing or mask data preparation or proximity effect correction of a desired pattern to be formed on a reticle is disclosed in which a plurality of variable shaped beam (VSB) shots are determined which can form the desired pattern. Shots within the plurality of VSB shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary with respect to each other. The union of the plurality of shots may deviate from the desired pattern. The plurality of shots may be determined such that a pattern on the surface calculated from the plurality of shots is within a predetermined tolerance of the desired pattern. In some embodiments, an optimization technique may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: March 8, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 7902528
    Abstract: A method of particle beam lithography includes selecting at least two cell patterns from a stencil, correcting proximity effect by dose control and by pattern modification for the at least two cell patterns, and writing the at least cell two patterns by one shot of the particle beam after proximity effect correction (PEC).
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: March 8, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Daisuke Hara, Katsuo Komuro, Takashi Mitsuhashi
  • Patent number: 7897308
    Abstract: A method for transferring a predetermined pattern onto a flat support performed by direct writing by means of a particle beam comprises at least: deposition of a photoresist layer on a free surface of the support, application of the beam on exposed areas of the photoresist layer, performing correction by modulation of exposure doses received by each exposed area, developing of the photoresist layer so as to form said pattern. Correction further comprises determination of a substitution pattern (11) comprising at least one subresolution feature and use of the substitution pattern (11) for determining the areas to be exposed when the electron beam is applied. In addition, modulation takes account of the density of the substitution pattern (11) near to each exposed area.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: March 1, 2011
    Assignees: Commissariat a l'Energie Atomique, Freescale Semiconductor, Inc.
    Inventors: Laurent Pain, Serdar Manakli, Georges Bervin
  • Patent number: 7888193
    Abstract: A semiconductor device has: a semiconductor substrate having a pair of current input/output regions via which current flows; an insulating film formed on the semiconductor substrate and having a gate electrode opening; and a mushroom gate electrode structure formed on the semiconductor substrate via the gate electrode opening, the mushroom gate electrode structure having a stem and a head formed on the stem, the stem having a limited size on the semiconductor substrate along a current direction and having a forward taper shape upwardly and monotonically increasing the size along the current direction, the head having a size expanded stepwise along the current direction, and the stem contacting the semiconductor substrate in the gate electrode opening and riding the insulating film near at a position of at least one of opposite ends of the stem along the current direction.
    Type: Grant
    Filed: March 18, 2010
    Date of Patent: February 15, 2011
    Assignees: Fujitsu Limited, Fujitsu Quantum Devices Limited
    Inventors: Kozo Makiyama, Naoya Ikechi, Takahiro Tan
  • Patent number: 7883831
    Abstract: The present inventors have developed an accurate method for forming a plurality of images on a substrate. The present method provides an improved pattern replication technique that provides submicron resolution, for example 20 nm or less, especially 10 nm or less. The method may involve moving a structured beam of energetic radiation across a target substrate. The motion of an image of the template mask on the substrate is achieved by tilting a mask and substrate assembly relative to the axis of the incident beam. The technique does not require high precision motion of the template mask relative to the target substrate. The energetic radiation may comprise energetic particles. The technique is insensitive to particle energy and can be applied to uncharged, neutral particles.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: February 8, 2011
    Assignee: University of Houston
    Inventors: John C. Wolfe, Barry Craver
  • Patent number: 7879528
    Abstract: A resist composition and a method of forming a resist pattern that enable contamination within the exposure apparatus to be prevented in lithography processes using an electron beam or EUV (extreme ultraviolet light). In this method, an organic solvent containing, as the principal component, one or more compounds selected from a group consisting of propylene glycol monomethyl ether (PGME), methyl amyl ketone (MAK), butyl acetate (BuOAc), and 3-methyl methoxy propionate (MMP) is used as the resist solvent.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: February 1, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takeo Watanabe, Hideo Hada, Hiroo Kinoshita
  • Patent number: 7858276
    Abstract: In one disclosed embodiment, the present method for determining resist suitability for semiconductor wafer fabrication comprises forming a layer of resist over a semiconductor wafer, exposing the layer of resist to patterned radiation, and determining resist suitability by using a scatterometry process prior to developing a lithographic pattern on the layer of resist. In one embodiment, the semiconductor wafer is heated in a post exposure bake process after scatterometry is performed. In one embodiment, the patterned radiation is provided by an extreme ultraviolet (EUV) light source in a lithographic process. In other embodiments, patterned radiation is provided by an electron beam, or ion beam, for example. In one embodiment, the present method determines out-gassing of a layer of resist during exposure to patterned radiation.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: December 28, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Wallow, Bruno M. LaFontaine
  • Patent number: 7851130
    Abstract: A photosensitive composition includes (A) a compound represented by the following formula (I): wherein R1 to R13 each independently represents a hydrogen atom or a substituent, Z represents a single bond or a divalent linking group, and X? represents an anion containing a proton acceptor functional group.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: December 14, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Yasutomo Kawanishi, Kenji Wada
  • Patent number: 7842437
    Abstract: A high-resolution, patterned-media master mask is disclosed. The high-resolution, patterned-media master mask includes an electron-absorption substrate for absorbing electrons from an electron beam (e-beam) during an e-beam exposure by an e-beam lithography process and suppressing a backscattering of the electrons based on an electron-backscattering-suppressing atomic number associated with a constituent atomic species of the electron-absorption substrate, wherein the electron-absorption substrate comprises a material composed of greater than fifty atomic percent of the constituent atomic species, and wherein the electron backscattering-suppressing atomic number is less than an atomic number eight.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: November 30, 2010
    Assignee: Hitachi Global Storage Technologies, Netherlands, B.V.
    Inventors: James G. Belleson, Michael A. Parker, Robert O. Schwenker
  • Patent number: 7838185
    Abstract: In a focus measurement method and a method of manufacturing a semiconductor device relating to the present invention, a focus value is obtained by using a fluctuation where shrinkage of a resist pattern by an electron beam irradiation depends upon the focus value. In the case of obtaining the focus value, the shrinkage of the resist pattern for a focus measurement formed by exposure to be subject for a focus value measurement is measured. The focus value corresponding to the shrinkage is obtained from the pre-obtained focal dependency of the shrinkage. A focal shift length can be defined from a difference between the focus value and a predetermined best focus value.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: November 23, 2010
    Assignee: Panasonic Corporation
    Inventors: Rimiko Ide, Kenji Noda, Hirofumi Fukumoto, Kenichi Asahi, Naohiko Ujimaru
  • Patent number: 7824828
    Abstract: A method and system for dose correction of a particle beam writer is disclosed. The method and system includes reading a file of writing objects that includes dose intensity, calculating a rate of dose intensity change between adjacent writing objects, selecting a writing object that may need accuracy improvement of dose correction based on the rate of dose intensity change, and improving accuracy of the dose correction of the writing object that is selected and its adjacent objects.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: November 2, 2010
    Assignee: Cadence Design Systems, Inc.
    Inventors: Akira Fujimura, Daisuke Hara, Katsuo Komuro, Takashi Mitsuhashi
  • Patent number: 7824845
    Abstract: Linear or branched functionalized polycarbosilanes having an absorbance less than 3.0 ?m?1 at 193 nm and a relatively high refractive index are provided. The functionalized polycarbosilanes contain at least one pendant group that is acid labile or aqueous base soluble. Also disclosed are photoresists formulations containing the functionalized polycarbosilanes that are suitable for use in lithography, e.g., immersion lithography.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: November 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Matthew E. Colburn, Daniel P. Sanders, Ratnam Sooriyakumaran, Hoa D. Truong
  • Patent number: 7820362
    Abstract: A method of delineating a lithographic pattern on a material. A pattern to be delineated is divided according to first and second fields by first and second methods of division. Pattern segments contained in the first fields are divided in the X-direction, and data about the resulting pattern subsegments is obtained. Pattern segments contained in the second fields are divided in the Y-direction, and data about the resulting pattern subsegments is obtained. The two methods are so carried out that a pattern segment located across a field boundary during implementation of one of the two methods of division is located around the center of a field during implementation of the other method. In each field, the pattern segments obtained by the X division and Y division, respectively, are overlapped and written with a half of the dose normally used.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: October 26, 2010
    Assignee: JEOL Ltd.
    Inventor: Yuichi Kawase
  • Patent number: 7816062
    Abstract: In an exposure process or etching process, an image feature amount useful for estimating a cross-sectional shape of a target evaluation pattern, process conditions for the pattern, or device characteristics of the pattern is calculated from an SEM image. The image feature amount is compared with learning data that correlates data preliminarily stored in a database, which data includes cross-sectional shapes of patterns, process conditions for the patterns, or device characteristics of the patterns, to the image feature amount calculated from the SEM image. Thereby, the cross-sectional shape of the target evaluation pattern, the process conditions of the pattern, or the device characteristics of the pattern are nondestructively calculated.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: October 19, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Wataru Nagatomo, Hidetoshi Morokuma, Atsushi Miyamoto, Hideaki Sasazawa
  • Patent number: 7811727
    Abstract: A method of determining an exposure dose for writing a pattern using an electron beam writer determines a target dose in the exposure region to obtain a predetermined energy deposition in a specific position of the exposure region, the predetermined energy deposition being larger than a reference energy deposition in the non-exposure region. The target dose is locally increased in a marginal region of the exposure region (the marginal region being adjacent the exposure boundary) to a value that obtains an energy deposition in the marginal region higher than the predetermined energy deposition. Optionally, the target dose can be locally decreased in an intermediate region of the exposure region (the intermediate region being adjacent the marginal region) to a value that obtains an energy deposition in the intermediate region smaller than the predetermined energy deposition. Also provided is an exposure device for carrying out the method.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: October 12, 2010
    Assignee: Advanced Mask Technology Center GmbH & Co. KG
    Inventors: Martin Sczyrba, Markus Waiblinger, Axel Feicke, Karsten Bubke