Assembly Of Plural Semiconductive Substrates Each Possessing Electrical Device Patents (Class 438/107)
  • Patent number: 9905549
    Abstract: The present disclosure provides a semiconductor apparatus having a plurality of semiconductor dies stacked in a face-to-face manner and a method for preparing the same. By stacking dies having different functions vertically in a face-to-face manner, a face-to-face communication is implemented between the dies having different functions. In addition, stacking the dies having different functions vertically in a face-to-face manner reduces the occupied area of the semiconductor apparatus, as compared to a semiconductor apparatus with dies having different functions arranged in a laterally adjacent manner. Furthermore, the signal path of the dies having different functions vertically stacked in the face-to-face manner is shorter than the signal path of the dies having different functions arranged in a laterally adjacent manner; consequently, the dies having different functions vertically stacked in the face-to-face manner of the present disclosure can be applied to high-speed electronic devices.
    Type: Grant
    Filed: February 16, 2017
    Date of Patent: February 27, 2018
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Po-Chun Lin, Chin-Lung Chu
  • Patent number: 9898567
    Abstract: A method (and system) of automatically legalizing a circuit layout with layout objects in a presence of a plurality of non-uniform grids is disclosed. The method comprises generating a set of layout constraints comprising design rule constraints and gridding requirements based on the plurality of non-uniform grids. In addition, the method comprises processing the set of layout constraints to a feasible form using Boolean variables by determining infeasibility of the set of layout constraints, identifying infeasible layout constraints from the set of layout constraints, and resolving the infeasibility by a constraint relaxation process. Additionally, the method comprises generating an output circuit layout, for display to a user, by solving the set of layout constraints in the feasible form with standard linear program solvers.
    Type: Grant
    Filed: February 23, 2015
    Date of Patent: February 20, 2018
    Assignee: Synopsys, Inc.
    Inventors: Nitin Dileep Salodkar, Subramanian Rajagopalan, Sambuddha Bhattacharya, Shabbir Husain Batterywala
  • Patent number: 9899350
    Abstract: A flip chip module having at least one flip chip die is disclosed. The flip chip module includes a carrier having a top surface with a first mold compound residing on the top surface. A first mold compound is disposed on the top surface of the carrier. A first thinned flip chip die resides over a first portion of the first mold compound with interconnects extending through the first portion to the top surface wherein the first portion of the mold compound fills a region between the first flip chip die and the top surface. A second mold compound resides over the substrate and provides a first recess over the first flip chip die wherein the first recess extends to a first die surface of the first flip chip die. A third mold compound resides in the first recess and covers an exposed surface of the flip chip die.
    Type: Grant
    Filed: August 5, 2016
    Date of Patent: February 20, 2018
    Assignee: Qorvo US, Inc.
    Inventors: Julio C. Costa, Thomas Scott Morris, Jonathan Hale Hammond, David Jandzinski, Stephen Parker, Jon Chadwick
  • Patent number: 9869908
    Abstract: A system and device for driving high resolution monitors while reducing artifacts thereon. Utilization of Z-inversion polarity driving techniques to drive pixels in a display reduces power consumption of the display but tends to generate visible horizontal line artifacts caused by capacitances present between the pixels and data lines of the display. By introducing a physical shield between the pixel and data line elements, capacitance therebetween can be reduced, thus eliminating the cause of the horizontal line artifacts. The shield may be a common voltage line (Vcom) of the display.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: January 16, 2018
    Assignee: Apple Inc.
    Inventors: Kyung-Wook Kim, Young Bae Park, Shih Chang Chang, Chun-Yao Huang, John Z. Zhong
  • Patent number: 9831184
    Abstract: An interposer having decaps formed in blind-vias, a packaged semiconductor structure having decaps formed in blind-vias, and methods for forming the same are provided. In one embodiment, an interposer is provided that includes an interconnect layer disposed on a substrate. A plurality of through-vias are formed through the substrate in an isolated region of the substrate. At least one of the plurality of conductive vias are electrically coupled to at least one of a plurality of top wires formed in the interconnect layer. A plurality of blind-vias are formed through the substrate in a dense region of the substrate during a common etching step with the through-vias. At least one blind-via includes (a) a dielectric material lining the blind-vias, and (b) a conductive material filling the lined blind-vias and forming a decoupling capacitor.
    Type: Grant
    Filed: December 27, 2013
    Date of Patent: November 28, 2017
    Assignee: NVIDIA CORPORATION
    Inventor: Abraham F. Yee
  • Patent number: 9831228
    Abstract: An opto-electronic apparatus and a manufacturing method thereof are disclosed. The manufacturing method of the opto-electronic apparatus includes the following steps of: disposing a matrix circuit on a substrate, wherein the matrix circuit has a matrix circuit thickness between the highest point of the matrix circuit and the surface of the substrate; disposing a plurality of first protrusions above the substrate, wherein at least one of the first protrusions has a first protrusion thickness between the highest point of the first protrusion and the surface of the substrate, and the first protrusion thickness is greater than the matrix circuit thickness; and performing a transfer step for transferring a plurality of first opto-electronic units from a first carrier to the first protrusions and bonding the first protrusions to at least two of the first opto-electronic units with an adhesive material.
    Type: Grant
    Filed: December 15, 2016
    Date of Patent: November 28, 2017
    Assignee: Ultra Display Technology Corp.
    Inventor: Yung-Yu Yen
  • Patent number: 9831302
    Abstract: A method for making an integrated circuit package includes providing a handle wafer having a first region defining a cavity. A capacitor is formed in the first region. The capacitor has a pair of electrodes, each coupled to one of a pair of conductive pads, at least one of which is disposed on a lower surface of the handle wafer. An interposer having an upper surface with a conductive pad and at least one semiconductor die disposed thereon is also provided. The die has an integrated circuit that is electroconductively coupled to a redistribution layer (RDL) of the interposer. The lower surface of the handle wafer is bonded to the upper surface of the interposer such that the die is disposed below or within the cavity and the electroconductive pad of the handle wafer is bonded to the electroconductive pad of the interposer in a metal-to-metal bond.
    Type: Grant
    Filed: November 23, 2016
    Date of Patent: November 28, 2017
    Assignee: Invensas Corporation
    Inventors: Liang Wang, Hong Shen, Rajesh Katkar
  • Patent number: 9831164
    Abstract: A semiconductor device includes a via structure and a conductive structure. The via structure has a surface with a planar portion and a protrusion portion. The conductive structure is formed over at least part of the planar portion and not over at least part of the protrusion portion of the via structure. For example, the conductive structure is formed only onto the planar portion and not onto any of the protrusion portion for forming high quality connection between the conductive structure and the via structure.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: November 28, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kwang-jin Moon, Pil-kyu Kang, Dae-lok Bae, Gil-heyun Choi, Byung-lyul Park, Dong-chan Lim, Deok-young Jung
  • Patent number: 9818470
    Abstract: A memory is disclosed that includes a logic die having first and second memory interface circuits. A first memory die is stacked with the logic die, and includes first and second memory arrays. The first memory array couples to the first memory interface circuit. The second memory array couples to the second interface circuit. A second memory die is stacked with the logic die and the first memory die. The second memory die includes third and fourth memory arrays. The third memory array couples to the first memory interface circuit. The fourth memory array couples to the second memory interface circuit. Accesses to the first and third memory arrays are carried out independently from accesses to the second and fourth memory arrays.
    Type: Grant
    Filed: April 13, 2016
    Date of Patent: November 14, 2017
    Assignee: Rambus Inc.
    Inventors: Scott C. Best, Ming Li
  • Patent number: 9799627
    Abstract: In one embodiment, a semiconductor package structure includes a substrate having a well region extending from a major surface. An interposer structure is attached to the substrate within the well region. The interposer structure has a major surface that is substantially co-planar with the major surface of the substrate. An electrical device is directly attached to the substrate and the interposer structure. The interposer structure can be an active device, such as a gate driver integrated circuit, or passive device structure, such as an impedance matching network.
    Type: Grant
    Filed: January 18, 2013
    Date of Patent: October 24, 2017
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Bishnu Prasanna Gogoi, Robert Bruce Davies, Phuong Le, Alexander J. Elliott
  • Patent number: 9793151
    Abstract: Some example forms relate to a stiffener tape for a wafer. The stiffener tape includes a mounting tape and a stiffener removably attached to the mounting tape. The stiffener tape further includes a die attach film attached to the stiffener. Other example forms relate to an electronic assembly that includes a wafer and a stiffener tape attached to the wafer. The stiffener tape includes a die attach film mounted to the wafer. A stiffener is attached to the die attach film and a mounting tape is removably attached to the stiffener. Still other example forms relate to a method that includes forming a stiffener tape which includes a mounting tape, a stiffener removably attached to the mounting tape and a die attach film attached to the stiffener.
    Type: Grant
    Filed: December 12, 2014
    Date of Patent: October 17, 2017
    Assignee: Intel Corporation
    Inventors: Xavier Brun, Arjun Krishnan, Mohit Mamodia, Dingying Xu
  • Patent number: 9780042
    Abstract: A composite interposer can include a substrate element and a support element. The substrate element can have first and second opposite surfaces defining a thickness of 200 microns or less, and can have a plurality of contacts exposed at the first surface and electrically conductive structure extending through the thickness. The support element can have a body of at least one of dielectric or semiconductor material exposed at a second surface of the support element, openings extending through a thickness of the body, conductive vias extending within at least some of the openings in a direction of the thickness of the body, and terminals exposed at a first surface of the support element. The second surface of the support element can be united with the second surface of the substrate element. The terminals can be electrically connected with the contacts through the conductive vias and the electrically conductive structure.
    Type: Grant
    Filed: June 2, 2016
    Date of Patent: October 3, 2017
    Assignee: Invensas Corporation
    Inventors: Charles G. Woychik, Cyprian Emeka Uzoh, Hiroaki Sato
  • Patent number: 9768108
    Abstract: An integrated circuit package includes a substrate/interposer assembly having a plurality of conductive contacts and a plurality of conductive posts, such as copper posts, electrically coupled to at least some of the conductive contacts in the substrate/interposer assembly. The conductive posts are surrounded by a protective dielectric, such as a photoimageable dielectric (PID). An integrated circuit die may be disposed on the substrate/interposer assembly within an interior space surrounded by the dielectric. An additional integrated circuit die may be provided in a package-on-package (POP) configuration.
    Type: Grant
    Filed: September 20, 2015
    Date of Patent: September 19, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Jie Fu, Chin-Kwan Kim, Manuel Aldrete, Milind Pravin Shah, Dwayne Richard Shirley
  • Patent number: 9768147
    Abstract: Systems and methods are described for improved heat dissipation of the stacked semiconductor dies by including metallic thermal pads between the dies in the stack. In one embodiment, the thermal pads may be in direct contact with the semiconductor dies. Heat dissipation of the semiconductor die stack can be improved by a relatively high thermal conductivity of the thermal pads that directly contact the adjacent silicon dies in the stack without the intervening layers of the low thermal conductivity materials (e.g., passivation materials). In some embodiments, the manufacturing yield of the stack can be improved by having generally coplanar top surfaces of the thermal pads and under-bump metallization (UBM) structures.
    Type: Grant
    Filed: February 3, 2014
    Date of Patent: September 19, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Jaspreet S. Gandhi, Michel Koopmans
  • Patent number: 9758364
    Abstract: Microstructure plating systems and methods are described herein. One method includes depositing a plating-resistant material between a microstructure and a bonding layer, wherein the microstructure comprises a plating process base material and immersing the microstructure in a plating solution.
    Type: Grant
    Filed: May 23, 2016
    Date of Patent: September 12, 2017
    Assignee: Honeywell International Inc.
    Inventors: Gordon A. Shaw, Daniel Baseman, Chris Finn, Jim G. Hunter
  • Patent number: 9754990
    Abstract: A method of manufacturing a semiconductor device includes bonding a first semiconductor wafer including a first substrate and a first insulating layer formed to contact one surface of the first substrate, and a second semiconductor wafer including a second substrate and a second insulating layer, forming a third insulating layer, performing etching so that the second insulating layer remains on a second wiring layer, forming a first connection hole, forming an insulating film on the first connection hole, performing etching of the second insulating layer and the insulating film, forming a second connection hole, and forming a first via formed in inner portions of the connection holes and connected to the second wiring layer, wherein a diameter of the first connection hole formed on the other surface of the first substrate is greater than a diameter of the first connection hole formed on the third insulating layer.
    Type: Grant
    Filed: March 30, 2016
    Date of Patent: September 5, 2017
    Assignee: Sony Corporation
    Inventor: Masaki Okamoto
  • Patent number: 9748308
    Abstract: A method of fabricating an image system includes forming a first wafer that includes a first semiconductor substrate and a first interconnect layer. A pixel array is formed in an imaging region of the first semiconductor substrate and a first insulation-filled trench is formed in a peripheral circuit region of the first semiconductor substrate. Additionally, a second wafer is formed that includes a second semiconductor substrate and a second interconnect layer. A second insulation-filled trench is formed in a second semiconductor substrate, and the first wafer is bonded to the second wafer. A third interconnect layer of a third wafer is bonded to the second wafer. At least one deep via cavity is formed through the first and second interconnect layers and through the first and second insulation-filled trenches. The at least one deep via cavity is filled with a conductive material to form a deep via.
    Type: Grant
    Filed: May 26, 2016
    Date of Patent: August 29, 2017
    Assignee: OmniVision Technologies, Inc.
    Inventors: Yin Qian, Dyson H. Tai, Jin Li, Chen-Wei Lu, Howard E. Rhodes
  • Patent number: 9741674
    Abstract: A semiconductor device includes a semiconductor substrate in which a through hole is formed, a transistor formed on the upper surface side of the semiconductor substrate, a detection circuit formed on the upper surface side of the semiconductor substrate and connected to the transistor, a dielectric film covering the transistor and the detection circuit, a solder bump formed on the dielectric film, and a pad electrode having a first portion connected to an output of the detection circuit in the through hole, and a second portion connected to the first portion and provided on a lower surface of the semiconductor substrate.
    Type: Grant
    Filed: April 29, 2016
    Date of Patent: August 22, 2017
    Assignee: Mitsubishi Electric Corporation
    Inventor: Yoshihiro Tsukahara
  • Patent number: 9728520
    Abstract: An enhanced Flash chip and a method for packaging chip are provided to solve the problems of high design complexity. The enhanced Flash chip comprises: a FLASH and a RPMC packaged integrally, wherein the same IO pins in the FLASH and in the RPMC are mutually connected and are connected to the same external sharing pin of the chip; an external instruction is transmitted to the FLASH and the RPMC through the external sharing pin of the chip, and the controller of the FLASH and the controller of the RPMC respectively judge whether to execute the external instruction; and the FLASH and the RPMC further comprise internal IO pins, respectively, the internal IO pins of the FLASH and the internal IO pins of the RPMC are mutually connected, and internal mutual communication between the FLASH and the RPMC is performed through the pair of mutually connected internal IO pins.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: August 8, 2017
    Assignee: GIGADEVICE SEMICONDUCTOR (BEIJING) INC.
    Inventors: Hong Hu, Qingming Shu, Sai Zhang, Jianjun Zhang, Jiang Liu, Ronghua Pan
  • Patent number: 9721922
    Abstract: A semiconductor device has a first conductive layer including a plurality of conductive traces. The first conductive layer is formed over a substrate. The conductive traces are formed with a narrow pitch. A first semiconductor die and second semiconductor die are disposed over the first conductive layer. A first encapsulant is deposited over the first and second semiconductor die. The substrate is removed. A second encapsulant is deposited over the first encapsulant. A build-up interconnect structure is formed over the first conductive layer and second encapsulant. The build-up interconnect structure includes a second conductive layer. A first passive device is disposed in the first encapsulant. A second passive device is disposed in the second encapsulant. A vertical interconnect unit is disposed in the second encapsulant. A third conductive layer is formed over second encapsulant and electrically connected to the build-up interconnect structure via the vertical interconnect unit.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: August 1, 2017
    Assignee: STATS ChipPAC, Pte. Ltd.
    Inventors: Pandi C. Marimuthu, Yaojian Lin, Won Kyoung Choi, Il Kwon Shim
  • Patent number: 9711482
    Abstract: A semiconductor package may include first semiconductor chips disposed in a rotationally symmetrical structure. First bonding pads are arranged over the bottom surfaces of the first semiconductor chips. The semiconductor package may also include a first encapsulation member formed to surround at least side surfaces of the first semiconductor chips. The semiconductor package may also include via patterns formed in the first encapsulation member. The semiconductor package may also include second semiconductor chips stacked over top surfaces of the first semiconductor chips and the first encapsulation member including the via patterns in such a way as to form step shapes with the first semiconductor chips. Second bonding pads electrically connected to the via patterns are arranged over bottom surfaces of the second semiconductor chips.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: July 18, 2017
    Assignee: SK hynix Inc.
    Inventors: Sang Eun Lee, Eun Ko, Yong Jae Park
  • Patent number: 9704825
    Abstract: Chip packages and method of manufacturing the same are disclosed. In an embodiment, a chip package may include: a redistribution layer (RDL); a first chip including a plurality of first contact pads, the plurality of first contact pads facing the RDL; a second chip disposed between the first chip and the redistribution layer (RDL) wherein a portion of the first chip is disposed outside a lateral extent of the second chip; and a conductive via laterally separated from the second chip, the conductive via extending between the RDL and a first contact pad of the plurality of first contact pads, the first contact pad located in the portion of the first chip disposed outside the lateral extent of the second chip.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: July 11, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Wei Wu, Jing-Cheng Lin, Szu-Wei Lu, Ying-Ching Shih
  • Patent number: 9704880
    Abstract: A semiconductor structure having multiple semiconductor-device layers is provided. The semiconductor structure comprises a first buried oxide and a first semiconductor device layer fabricated above the first buried oxide. The first semiconductor device layer comprises a patterned top surface. A blanket layer comprising insulator material is fabricated over the patterned surface. The semiconductor structure further comprises a second buried oxide bonded to the blanket layer and a second semiconductor device layer fabricated above the second buried oxide.
    Type: Grant
    Filed: November 6, 2013
    Date of Patent: July 11, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Yi-Tang Lin, Chun-Hsiung Tsai, Clement Hsingjen Wann
  • Patent number: 9691748
    Abstract: A method for forming a panel of stacked semiconductor packages includes providing a bottom leadframe (LF) panel including LFs downset each including at least a plurality of terminals. Low side (LS) transistors are attached to the first die attach area. A first clip panel including first clips downset and interconnected are placed on the bottom LF panel. A dielectric interposer is attached on the first clips over the LS transistors. High side (HS) transistors are attached on the interposers. A second clip panel including a plurality of second clips is mated to interconnect to the HS transistors including mating together the second clip panel, first clip panel and bottom LF panel. The LFs can include a second die attach area, and a controller die attached on the second die attach area, and then pads of the controller die wirebonded to the plurality of terminals.
    Type: Grant
    Filed: January 5, 2016
    Date of Patent: June 27, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Lee Han Meng @ Eugene Lee, Anis Fauzi Bin Abdul Aziz, Sueann Lim Wei Fen
  • Patent number: 9662830
    Abstract: A bondline control fixture and an active bondline control fixture are provided for affixing first and second components. The bondline control fixture includes a base fixable relative to a first component maneuvering device and comprising a first body defining an aperture and a first chamber and a second body disposable within the first chamber and defining a second chamber, a flexible membrane disposable to seal the second chamber, a mobile plate to which the second component is removably attachable, the mobile plate being disposable in contact with the flexible membrane and a pressure regulating system coupled to the second body and configured to regulate a pressure within the second chamber to deform the flexible membrane.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: May 30, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Tymon Barwicz, Nicolas Boyer, Paul Fortier, Stephane Harel, Roch Thivierge
  • Patent number: 9656420
    Abstract: A bondline control fixture and an active bondline control fixture are provided for affixing first and second components. The bondline control fixture includes a base fixable relative to a first component maneuvering device and comprising a first body defining an aperture and a first chamber and a second body disposable within the first chamber and defining a second chamber, a flexible membrane disposable to seal the second chamber, a mobile plate to which the second component is removably attachable, the mobile plate being disposable in contact with the flexible membrane and a pressure regulating system coupled to the second body and configured to regulate a pressure within the second chamber to deform the flexible membrane.
    Type: Grant
    Filed: April 30, 2015
    Date of Patent: May 23, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Tymon Barwicz, Nicolas Boyer, Paul Fortier, Stephane Harel, Roch Thivierge
  • Patent number: 9650240
    Abstract: Measures are provided for improving and simplifying metallic bonding processes which enable a reliable initiation of the bonding process and thus contribute to a uniform bonding. The present method provides a further option for using bonding layers. The method in the case of which the two semiconductor elements are bonded to one another via a bond of at least one metallic starting layer and at least one further starting layer provides that the two starting layers are structured in such a way that the layer areas which are assigned to one another have differently sized areal extents. Moreover, the layer thicknesses of the two starting layers should be selected in such a way that the layer areas which are assigned to one another meet the material ratio necessary for the bonding process.
    Type: Grant
    Filed: June 4, 2015
    Date of Patent: May 16, 2017
    Assignee: Robert Bosch GmbH
    Inventors: Mirko Hattass, Heiko Stahl, Jochen Reinmuth, Julian Gonska, Johannes Classen
  • Patent number: 9633927
    Abstract: A chip arrangement includes semiconductor chips coupled to opposing sides of an insulating layer. The arrangement includes a first semiconductor chip having a first chip surface presenting a first chip conductive region. An electrically insulating layer includes a first layer surface presenting a first layer conductive region, and a second, opposing surface presenting a second layer conductive region. The electrically insulating layer is coupled to the first semiconductor chip by applying the first layer conductive region to the first chip conductive region. The electrically insulating layer is then coupled to the second chip conductive region by applying the second layer conductive region to the second chip conductive region.
    Type: Grant
    Filed: August 14, 2013
    Date of Patent: April 25, 2017
    Assignee: Infineon Technologies AG
    Inventors: Joachim Mahler, Alfred Haimerl, Angela Kessler, Michael Bauer
  • Patent number: 9627331
    Abstract: A leadframe includes a plurality of interconnected support members. A pair of die pads is connected to the support members and configured to receive a pair of dies electrically connected by at least one wire. A support bracket extends between the die pads and includes a surface for maintaining the at least one wire at a predetermined distance from the die pads during overmolding of the leadframe.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: April 18, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Yuh-Harng Chien, Chih-Chien Ho, Steven Su
  • Patent number: 9627445
    Abstract: Various embodiments relate to an optoelectronic component including: an electronic circuit structure including an electronic circuit and a metallization structure disposed over the electronic circuit, the metallization structure including one or more contact pads electrically connected to the electronic circuit; and an optoelectronic structure disposed over the metallization structure, the optoelectronic structure including at least one electrode structure being in direct contact with the one or more contact pads, wherein the electrode structure includes an electroless plated electrically conductive material.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: April 18, 2017
    Assignee: INFINEON TECHNOLOGIES DRESDEN GMBH
    Inventors: Ludwig Dittmar, Dirk Meinhold
  • Patent number: 9620472
    Abstract: A method of manufacturing an electronic component includes applying solder paste to at least one electrically conductive portion of a package, applying a high-voltage depletion-mode transistor onto the solder paste, applying a low-voltage enhancement-mode transistor onto the solder paste, applying solder paste onto the high-voltage depletion-mode transistor, applying solder paste onto the low-voltage enhancement-mode transistor, applying an electrically conductive member onto the solder paste on the high-voltage depletion-mode transistor and onto the solder paste on the low-voltage enhancement-mode transistor to form an assembly, and heat treating the assembly to produce an electrical connection between the high-voltage depletion-mode transistor and the low-voltage enhancement-mode transistor via the electrically conductive member.
    Type: Grant
    Filed: August 31, 2016
    Date of Patent: April 11, 2017
    Assignee: Infineon Technologies Austria AG
    Inventors: Ralf Otremba, Klaus Schiess, Oliver Häberlen
  • Patent number: 9570423
    Abstract: Embodiments of the inventive concept include a semiconductor package having a plurality of stacked semiconductor chips. A multi-layered substrate includes a central insulation layer, an upper wiring layer disposed on an upper surface of the central insulation layer, and a first lower wiring layer disposed on a lower surface of the central insulation layer. The stacked semiconductor chips are connected to the multi-layered substrate and/or each other using various means. The semiconductor package is capable of high performance operation, like a semiconductor package based on flip-ship bonding, and also meets the need for large capacity by overcoming a limitation caused by a single semiconductor chip. Embodiments of the inventive concept also include methods of manufacturing the semiconductor package.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: February 14, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Chul-yong Jang, Young-lyong Kim, Ae-nee Jang
  • Patent number: 9556019
    Abstract: A method and system for changing a pressure within at least one enclosure in a MEMS device are disclosed. In a first aspect, the method comprises applying a laser through one of the at least two substrates onto a material which changes the pressure within at least one enclosure when exposed to the laser, wherein the at least one enclosure is formed by the at least two substrates. In a second aspect, the system comprises a MEMS device that includes a first substrate, a second substrate bonded to the first substrate, wherein at least one enclosure is located between the first and the second substrates, a metal layer within one of the first substrate and the second substrate, and a material vertically oriented over the metal layer, wherein when the material is heated the material changes a pressure within the at least one enclosure.
    Type: Grant
    Filed: May 6, 2015
    Date of Patent: January 31, 2017
    Assignee: INVENSENSE, INC.
    Inventors: Michael Dueweke, Martin Lim
  • Patent number: 9553054
    Abstract: Strain detection structures used with bonded wafers and chips and methods of manufacture are disclosed. The method includes forming lower metal wiring structures associated with a lower wafer structure. The method further includes bonding the lower wafer structure to an upper wafer structure and thinning the upper wafer, and forming upper metal wiring structures. The method further includes electrically linking the lower metal wiring structures to the upper metal wiring structures by formation of through silicon via structures to form an electrically connected chain extending between multiple wafer structures. The method further includes forming contacts to an outside environment which electrically contact two of the lower metal wiring structures.
    Type: Grant
    Filed: October 23, 2014
    Date of Patent: January 24, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Mukta G. Farooq, John A. Fitzsimmons, Erdem Kaltalioglu, Wei Lin, Spyridon Skordas, Kevin R. Winstel
  • Patent number: 9543224
    Abstract: Semiconductor packages and methods, systems, and apparatuses of forming such packages are described. A method of forming a semiconductor package may include encapsulating a semiconductor die with a molding compound, applying a seed layer on the die and the molding compound, applying a resist layer on the seed layer, exposing a first portion of the resist layer, and exposing a second portion of the resist layer. The first portion can include a first area of the resist layer to be used for forming a redistribution layer (RDL) without including a second area of the resist layer to be used for forming an electrical communications pathway between at least one of the contact pads and the RDL. The second portion can include the second area of the resist layer that includes the electrical communications pathway.
    Type: Grant
    Filed: December 9, 2015
    Date of Patent: January 10, 2017
    Assignee: Intel IP Corporation
    Inventors: Thorsten Meyer, Gerald Ofner, Robert L. Sankman
  • Patent number: 9543262
    Abstract: A method of fabricating multiple conductor layers utilizing the same seed layer is described. In an embodiment a stud bump structure is described in which the seed layer is encapsulated by the passivation layer. By forming the stud bump prior to the passivation layer, the height of the stud bump extending from the top surface of the passivation layer can be controlled.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: January 10, 2017
    Assignee: Cypress Semiconductor Corporation
    Inventor: William W. C. Koutney, Jr.
  • Patent number: 9524958
    Abstract: A semiconductor device includes a carrier with an interface layer applied over the carrier. The interface layer can include non-conductive paste or non-conductive film. A plurality of semiconductor die is mounted to the carrier and interface layer by pressing the semiconductor die to the carrier and interface layer for one second or less, and simultaneously thermal compression bonding multiple semiconductor die to the carrier and interface layer for 5-10 seconds. By pressing the semiconductor die to the interface layer for a short period of time and then simultaneously thermal compression bonding multiple semiconductor die to the interface layer for a second longer period of time, the overall throughput of die bonding increases to process more die per unit of time. An encapsulant is deposited over the semiconductor die. The carrier is removed and interconnect structure is formed over the semiconductor die and encapsulant.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: December 20, 2016
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventors: JoonYoung Choi, YongHee Kang, HunTeak Lee, KeonTaek Kang, YoungChul Kim
  • Patent number: 9508638
    Abstract: A method for making an integrated circuit package includes providing a handle wafer having a first region defining a cavity. A capacitor is formed in the first region. The capacitor has a pair of electrodes, each coupled to one of a pair of conductive pads, at least one of which is disposed on a lower surface of the handle wafer. An interposer having an upper surface with a conductive pad and at least one semiconductor die disposed thereon is also provided. The die has an integrated circuit that is electroconductively coupled to a redistribution layer (RDL) of the interposer. The lower surface of the handle wafer is bonded to the upper surface of the interposer such that the die is disposed below or within the cavity and the electroconductive pad of the handle wafer is bonded to the electroconductive pad of the interposer in a metal-to-metal bond.
    Type: Grant
    Filed: August 24, 2015
    Date of Patent: November 29, 2016
    Assignee: Invensas Corporation
    Inventors: Liang Wang, Hong Shen, Rajesh Katkar
  • Patent number: 9508626
    Abstract: A semiconductor device has a thermally-conductive frame and interconnect structure formed over the frame. The interconnect structure has an electrical conduction path and thermal conduction path. A first semiconductor die is mounted to the electrical conduction path and thermal conduction path of the interconnect structure. A portion of a back surface of the first die is removed by grinding. An EMI shielding layer can be formed over the first die. The first die can be mounted in a recess of the thermally-conductive frame. An opening is formed in the thermally-conductive frame extending to the electrical conduction path of the interconnect structure. A second semiconductor die is mounted over the thermally-conductive frame opposite the first die. The second die is electrically connected to the interconnect structure using a bump disposed in the opening of the thermally-conductive frame.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: November 29, 2016
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventors: Reza A. Pagaila, Yaojian Lin
  • Patent number: 9497854
    Abstract: An apparatus having a power converter circuit having a first active layer having a first set of active devices disposed on a face thereof, a first passive layer having first set of passive devices disposed on a face thereof, and interconnection to enable the active devices disposed on the face of the first active layer to be interconnected with the non-active devices disposed on the face of the first passive layer, wherein the face on which the first set of active devices on the first active layer is disposed faces the face on which the first set of passive devices on the first passive layer is disposed.
    Type: Grant
    Filed: June 3, 2014
    Date of Patent: November 15, 2016
    Assignee: ARCTIC SAND TECHNOLOGIES, INC.
    Inventor: David Giuliano
  • Patent number: 9491846
    Abstract: A module 100 can be precisely manufactured by mounting an electronic component 102 and a terminal assembly 10 having a simple configuration, in which a plurality of connection terminals 11 are supported by a support body 12, the configuration being highly precise, inexpensive, and new, on one principal surface of a wiring substrate; and by sealing the electronic component 102 and the terminal assembly 10 mounted on the one principal surface of the wiring substrate 101, with a first resin layer 103. Also, since the plurality of connection terminals 11 are merely supported by the support body 12, the support body 12 can be easily removed from the plurality of connection terminals 11. Accordingly, the manufacturing time of the module 100 is decreased.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: November 8, 2016
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Nobuaki Ogawa, Yoichi Takagi
  • Patent number: 9466586
    Abstract: Provided are a semiconductor package and a method for manufacturing a semiconductor package. The method for manufacturing a wafer-level fan-out package includes attaching semiconductor chips sawed to have a predetermined size to one surface of a wafer at predetermined intervals, forming a first passivation layer on surfaces of the semiconductor chips and the wafer, forming a redistribution layer electrically connected to the semiconductor chips on portions of an upper surface of the first passivation layer, forming a second passivation layer on the upper surface of the first passivation layer and surfaces of portions of the redistribution layer, forming external connection terminals on portions of the redistribution layer in which the second passivation layer has not been formed, and performing sawing along package boundary lines (sawing lines) and polishing the wafer to be removed such that lower surfaces of the semiconductor chips are exposed.
    Type: Grant
    Filed: July 8, 2015
    Date of Patent: October 11, 2016
    Assignee: STS SEMICONDUCTOR & TELECOMMUNICATIONS CO., LTD.
    Inventors: Jai Kyoung Choi, Eun Dong Kim, Hyun Hak Jung, Hyeong Min Kim, Su Kyung Lim
  • Patent number: 9461016
    Abstract: To improve reliability of signal transmission of an interposer which couples between semiconductor chips. A reference potential wiring and a reference potential wiring are provided on both neighboring sides of a signal wiring provided in a first wiring layer of an interposer. Also, a reference potential wiring and a reference potential wiring are provided on both neighboring sides of a signal wiring provided in a second wiring layer of the interposer. Further, the signal wiring and the signal wiring cross each other in plan view. The reference potential wirings of the first wiring layer, and the reference potential wirings of the second wiring layer are coupled to each other at the periphery of their crossing portion.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: October 4, 2016
    Assignee: Renesas Electronics Corporation
    Inventors: Shuuichi Kariyazaki, Wataru Shiroi, Ryuichi Oikawa, Kenichi Kuboyama
  • Patent number: 9461026
    Abstract: A method of fabricating a composite semiconductor structure includes providing a substrate including a plurality of devices and providing a compound semiconductor substrate including a plurality of photonic devices. The method also includes dicing the compound semiconductor substrate to provide a plurality of photonic dies. Each die includes one or more of the plurality of photonics devices. The method further includes providing an assembly substrate, mounting the plurality of photonic dies on predetermined portions of the assembly substrate, aligning the substrate and the assembly substrate, joining the substrate and the assembly substrate to form a composite substrate structure, and removing at least a portion of the assembly substrate from the composite substrate structure.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: October 4, 2016
    Assignee: Skorpios Technologies, Inc.
    Inventors: John Dallesasse, Stephen B. Krasulick
  • Patent number: 9449941
    Abstract: A package-on-package (PoP) comprises a substrate with a plurality of substrate traces, a first function chip on top of the substrate connected to the substrate by a plurality of bond-on-trace connections, and a second function chip on top of the first function chip, directly connected to the substrate. Another package-on-package (PoP) comprises: a substrate with a plurality of substrate traces, a first function chip on top of the substrate connected to the substrate by a plurality of solder mask defined (SMD) connections formed on SMD bonding pads connected to solder bumps, and a second function chip on top of the first function chip, directly connected to the substrate by a plurality of bond-on-trace connections.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: September 20, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Chun Tsai, Sheng-Yu Wu, Ching-Wen Hsiao, Tin-Hao Kuo, Chen-Shien Chen, Chung-Shi Liu, Chien-Hsiun Lee, Mirng-Ji Lii
  • Patent number: 9437575
    Abstract: Methods for a semiconductor device package formed in a chip-on-wafer last process using thin film adhesives are disclosed and may include bonding a first carrier to a first surface of an interposer in wafer form, forming conductive bumps on a second surface of the interposer, bonding a second carrier to the conductive bumps utilizing a film adhesive, removing the first carrier from the interposer, bonding a semiconductor die to the first surface of the interposer, and encapsulating the die and the first surface of the interposer in an encapsulant material. The second carrier and the film adhesive may be removed from the conductive bumps utilizing a slide-off process. The interposer and encapsulant may be diced into a plurality of interposer and die structures. One of the die and interposer structures may be bonded to a substrate. The die may be bonded to the interposer utilizing a mass reflow process.
    Type: Grant
    Filed: January 20, 2014
    Date of Patent: September 6, 2016
    Assignee: Amkor Technology, Inc.
    Inventors: Michael G. Kelly, David Jon Hiner, Ji Hun Lee, Won Chul Do, Doo Hyun Park, Ronald Huemoeller
  • Patent number: 9427776
    Abstract: Methods for reducing wafer bow induced by an anti-reflective coating of a cap wafer are provided. The method may utilize a shadow mask having at least one opening therein that is positioned opposite recessed regions in a cap wafer. The method may further include depositing at least one layer of an anti-reflective coating material through the shadow mask onto a planar side of a cap wafer to provide a discontinuous coating on the planar side.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: August 30, 2016
    Assignee: RAYTHEON COMPANY
    Inventors: Roland W. Gooch, Buu Q. Diep, Stephen H. Black, Thomas A. Kocian, Adam M. Kennedy
  • Patent number: 9418916
    Abstract: A semiconductor device including a semiconductor chip, a first electrode pad and second electrode pad included on one surface of the semiconductor chip, a first conductive post joined by a joining material to the first electrode pad, a plurality of second conductive posts joined by a joining material to the second electrode pad, and a printed substrate, disposed opposing the one surface of the semiconductor chip, on which is formed an electrical circuit to which the first conductive post and second conductive posts are connected. The second conductive posts on the side near the first conductive post are arrayed avoiding a short-circuit prevention region at a distance such that the joining material of the first conductive post and the joining material of the second conductive posts do not link.
    Type: Grant
    Filed: March 10, 2015
    Date of Patent: August 16, 2016
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Yoko Nakamura, Norihiro Nashida
  • Patent number: 9412728
    Abstract: A method for performing a post processing pattern on a diced chip having a footprint, comprises the steps of providing a support wafer; applying a first dry film photoresist to the support wafer; positioning a mask corresponding to the footprint of the diced chip on the first dry film photoresist; expose the mask and the first dry film photoresist to UV radiation; remove the mask; photoresist develop the exposed first dry film photoresist to obtain a cavity corresponding to the diced chip; positioning the diced chip inside the cavity; applying a second dry film photoresist to the first film photoresist and the diced chip; and expose and develop the second dry film photoresist applied to the diced chip in accordance with the post processing pattern.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: August 9, 2016
    Assignee: ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL)
    Inventors: Carlotta Guiducci, Yusuf Leblebici, Yuksel Temiz
  • Patent number: 9406747
    Abstract: A vertically integrated hybrid component is implemented in the form of a wafer level package including: at least two element substrates assembled one above the other; a molded upper sealing layer made of an electrically insulating casting; and an external electrical contacting of the component being implemented on the top side via at least one contact stamp which is embedded in the sealing layer so that (i) its lower end is connected to a wiring level of an element substrate and (ii) its upper end is exposed in the surface of the sealing layer.
    Type: Grant
    Filed: June 17, 2014
    Date of Patent: August 2, 2016
    Assignee: ROBERT BOSCH GMBH
    Inventors: Heribert Weber, Hartmut Kueppers, Jens Frey, Neil Davies, Jochen Reinmuth