With Measuring Or Testing Patents (Class 438/14)
  • Patent number: 8890560
    Abstract: Crack sensors for semiconductor devices, semiconductor devices, methods of manufacturing semiconductor devices, and methods of testing semiconductor devices are disclosed. In one embodiment, a crack sensor includes a conductive structure disposed proximate a perimeter of an integrated circuit. The conductive structure is formed in at least one conductive material layer of the integrated circuit. The conductive structure includes a first end and a second end. A first terminal is coupled to the first end of the conductive structure, and a second terminal is coupled to the second end of the conductive structure.
    Type: Grant
    Filed: November 8, 2011
    Date of Patent: November 18, 2014
    Assignee: Infineon Technologies AG
    Inventor: Erdem Kaltalioglu
  • Patent number: 8890143
    Abstract: A method for fabricating an integrated circuit (IC) product and IC product formed thereby. The method includes designing an IC package having a plurality of IC connection sets, each configured to be connected to a corresponding IC selected from among a plurality of ICs, each having different functionality. Various IC products can be produced depending upon which selected IC is connected to its corresponding connection set, and the IC package can be cut during design to exclude IC connection sets corresponding to ICs that are not selected. By testing the complete IC package, a portion of the complete IC package can be fabricated, cut from the complete IC package, with significantly reduced design and testing requirements.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: November 18, 2014
    Assignee: QUALCOMM Incorporated
    Inventors: Ryan D. Lane, Ruey Kae Zang
  • Patent number: 8892237
    Abstract: Methods and systems are provided for fabricating and measuring physical features of a semiconductor device structure. An exemplary method of fabricating a semiconductor device structure involves obtaining a first measurement of a first attribute of the semiconductor device structure from a first metrology tool, obtaining process information pertaining to fabrication of one or more features of the semiconductor device structure by a first processing tool, and determining an adjusted measurement for the first attribute based at least in part on the first measurement in a manner that is influenced by the process information.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: November 18, 2014
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Alok Vaid, Carsten Hartig
  • Patent number: 8889214
    Abstract: A deposition amount measuring apparatus includes a plate-shaped body having a rotating shaft, a plurality of deposition amount sensors along side surfaces of the body, the deposition amount sensors being configured to measure an amount of deposition material, and a housing surrounding the body, the housing including an inflow port that exposes one of the deposition amount sensors.
    Type: Grant
    Filed: May 8, 2013
    Date of Patent: November 18, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Kyung-Soo Kim, Seong-Ho Jeong, Hyun-Keun Song, Eu-Gene Kang
  • Patent number: 8884639
    Abstract: In one embodiment, a method for testing a plurality of singulated semiconductor die involves 1) placing each of the singulated semiconductor die on a surface of a die carrier, 2) mating an array of electrical contactors with the plurality of singulated semiconductor die, and then 3) performing electrical tests on the plurality of singulated semiconductor die, via the array of electrical contactors.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: November 11, 2014
    Assignee: Advantest (Singapore) Pte Ltd
    Inventors: James C. Anderson, Alan D. Hart, Kenneth D. Karklin
  • Patent number: 8883521
    Abstract: A control method of a multi-chip package memory device includes the steps of applying stack signals to stack pads of memory dies, applying a repair signal to repair pads of the respective memory dies, setting one or more repaired memory dies for replacing a failed memory die among the memory dies, based on the repair signal applied to the respective memory dies, and setting stack states indicating a logical access order of the other memory dies excluding the repaired memory die, based on the stack signals applied to the other memory dies.
    Type: Grant
    Filed: December 11, 2012
    Date of Patent: November 11, 2014
    Assignee: SK Hynix Inc.
    Inventor: Bo Kyeom Kim
  • Patent number: 8883619
    Abstract: A method for manufacturing a semiconductor device includes the steps of: preparing a substrate made of silicon carbide; forming, on one main surface of the substrate, a detection film having a light transmittance different from that of silicon carbide; confirming presence of the substrate by applying light to the detection film; and forming an active region in the substrate whose presence has been confirmed.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: November 11, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Hideto Tamaso, Hiromu Shiomi
  • Patent number: 8884406
    Abstract: A semiconductor device wafer includes a test structure. The test structure includes a layer of material having an angle-shaped test portion disposed on at least a portion of a surface of the semiconductor wafer. A ruler marking on the surface of the semiconductor wafer proximate the test portion is adapted to facilitate measurement of a change in length of the test portion.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: November 11, 2014
    Assignee: Alpha & Omega Semiconductor Ltd
    Inventors: Yingying Lou, Tiesheng Li, Yu Wang, Anup Bhalla
  • Publication number: 20140329341
    Abstract: A bonding method according to an exemplary embodiment of the present disclosure includes a first holding processing, a second holding processing, a temporary bonding processing, a temperature increasing processing and a main bonding processing. In the first holding processing, a target substrate is held. In the second holding processing, a glass substrate held by electrostatic adsorption. In the temporary bonding processing, the target substrate and the glass substrate are temporarily bonded with a pressing force lower than a predetermined pressing force at a temperature lower than a predetermined temperature. In the temperature increasing processing, while releasing the electrostatic adsorption of the glass substrate at the same time as or after the temporary bonding, the temperature is increased to the predetermined temperature. In the main bonding processing, a main bonding of the target substrate and the glass substrate is performed with the predetermined pressing force.
    Type: Application
    Filed: April 17, 2014
    Publication date: November 6, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Goro Furutani, Norio Wada, Satoshi Ookawa
  • Patent number: 8877524
    Abstract: A method for fabricating light emitting diode (LED) chips comprising providing a plurality of LEDs, typically on a wafer, and coating the LEDs with a conversion material so that at least some light from the LEDs passes through the conversion material and is converted. The light emission from the LED chips comprises light from the conversion material, typically in combination with LED light. The emission characteristics of at least some of the LED chips is measured and at least some of the conversion material over the LEDs is removed to alter the emission characteristics of the LED chips. The invention is particularly applicable to fabricating LED chips on a wafer where the LED chips have light emission characteristics that are within a range of target emission characteristics. This target range can fall within an emission region on a CIE curve to reduce the need for binning of the LEDs from the wafer.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: November 4, 2014
    Assignee: Cree, Inc.
    Inventors: Ashay Chitnis, John Edmond, Jeffrey Carl Britt, Bernd P. Keller, David Todd Emerson, Michael John Bergmann, Jasper S. Cabalu
  • Patent number: 8878561
    Abstract: This invention is to detect defective products of semiconductor devices with high accuracy even when the characteristics of the semiconductor devices vary according to their positions on each of wafers.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: November 4, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Kazuhiro Sakaguchi
  • Patent number: 8877525
    Abstract: Mechanisms are provided for chip (e.g., semiconductor chip) identification (e.g., low cost secure identification). In one example, a method of manufacturing for implementing integrated chip identification is provided. In another example, a method of using a chip with an integrated identification is provided.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: November 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: Effendi Leobandung, Dirk Pfeiffer
  • Patent number: 8877642
    Abstract: Fabricating of one or more semiconductor devices with critical gate dimension control is facilitated by: providing a multilayer stack structure over a substrate; etching through the multilayer stack structure, with critical gate dimension control, to define multiple gate lines; providing a protective layer over the multiple gate lines; and patterning and cutting one or more gate lines of the multiple gate lines to facilitate defining multiple gate structures of the one or more semiconductor devices. Etching through the multilayer stack structure is facilitated by lithographically patterning the multilayer stack structure, and critical dimension feedback control is provided to at least one of the lithographically patterning or the etching through the multilayer stack structure.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: November 4, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Xiang Hu
  • Publication number: 20140321222
    Abstract: A semiconductor memory includes a memory cell array that includes data cells of x bits and redundant cells of y bits for each word; a position-data storage unit that stores, for each word, defective-cell position data of defective cells of the data cells and the redundant cells; and a read circuit that reads data from cells of x bits based on the defective-cell position data stored in the position-data storage unit for a specified word of which address is specified as read address, the cells of x bits being formed by the data cells of x bits and the redundant cells of y bits of the specified word other than the defective cells.
    Type: Application
    Filed: July 15, 2014
    Publication date: October 30, 2014
    Applicant: FUJITSU LIMITED
    Inventors: Yoshinori TOMITA, Hidetoshi MATSUOKA, Hiroyuki HIGUCHI
  • Publication number: 20140322833
    Abstract: An apparatus includes an optical system configured to irradiate a substrate with a charged particle beam, a control unit configured to control an irradiation position of the charged particle beam, and a first measurement unit and a second measurement unit each configured to measure a surface position of the substrate. The first measurement unit and the second measurement unit have different characteristics in terms of charging. The control unit controls the irradiation position of the charged particle beam based on values measured by the first measurement unit and the second measurement unit.
    Type: Application
    Filed: April 18, 2014
    Publication date: October 30, 2014
    Applicant: Canon Kabushiki Kaisha
    Inventors: Wataru Yamaguchi, Hideki Ina, Masato Muraki
  • Publication number: 20140322832
    Abstract: According to example embodiments of inventive concepts, a method of fabricating a semiconductor device includes: forming a preliminary stack structure, the preliminary stack structure defining a through hole; forming a protection layer and a dielectric layer in the through hole; forming a channel pattern, a gapfill pattern, and a contact pattern in the through hole; forming an offset oxide on the preliminary stack structure; measuring thickness data of the offset oxide; and scanning the offset oxide using a reactive gas cluster ion beam. The scanning the offset oxide includes setting a scan speed based on the measured thickness data of the offset oxide, and forming a gas cluster.
    Type: Application
    Filed: December 9, 2013
    Publication date: October 30, 2014
    Inventors: Tae-Gon KIM, Jong-Hoon KANG, Jae-Young AHN, Jun-Kyu YANG, Han-Mei CHOI, Ki-Hyun HWANG
  • Patent number: 8871409
    Abstract: A photo mask having a first set of patterns and a second set of patterns is provided in which the first set of patterns correspond to a circuit pattern to be fabricated on a wafer, and the second set of patterns have dimensions such that the second set of patterns do not contribute to the circuit pattern that is produced using a lithography process based on the first set of patterns under a first exposure condition. The critical dimension distribution of the photo mask is determined based on the second set of patterns that do not contribute to the circuit pattern produced using the lithography process based on the first set of patterns under the first exposure condition.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: October 28, 2014
    Assignee: Carl Zeiss SMS Ltd.
    Inventors: Rainer Pforr, Guy Ben-Zvi, Vladimir Dmitriev, Erez Graitzer
  • Patent number: 8873920
    Abstract: A light-guiding cover structure includes a top cover unit and a light-guiding unit. The top cover unit has a plurality of receiving spaces formed therein. The light-guiding unit includes a plurality of light-guiding groups, wherein each light-guiding group includes a plurality of optical fiber cables received in the corresponding receiving space, and each optical fiber cable has two opposite ends exposed from the bottom surface of the top cover unit and respectively facing at least one light-emitting device and at least one light-sensing device that have been disposed under the top cover unit. Therefore, the optical fiber cables received in the corresponding receiving space, thus when the light-guiding cover structure is applied to the LED package chip classification system, the aspect of the LED package chip classification system can be enhanced.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: October 28, 2014
    Assignee: Youngtek Electronics Corporation
    Inventors: Bily Wang, Kuei-Pao Chen, Hsin-Cheng Chen, Cheng-Chin Chiu
  • Publication number: 20140315332
    Abstract: The present invention provides systems and methods for simultaneous, parallel and/or rapid serial testing of material parameters or other parameters of the result of a process. The testing is typically used for screening different methods or materials to select those methods or materials with desired properties. A reactor structure used to form the materials may consist of an array of small isolated reaction chambers that overlie the substrate so that the substrate forms a bottom surface of each isolated reaction chamber. Test structures are formed on the substrate, where the location of each test structure corresponds to an isolated reaction chamber area of the reaction structure. Test structures are used to measure certain parameters, such as by probing contact pads for each test structure, or such testing may be performed in-situ during processing.
    Type: Application
    Filed: July 1, 2014
    Publication date: October 23, 2014
    Inventors: Gustavo A. Pinto, Tony P. Chiang, Kurt H. Weiner
  • Patent number: 8859301
    Abstract: Determining an unknown step coverage of a thin film deposited on a 3D wafer includes exposing a planar wafer comprising a first film deposited thereon to X-ray radiation to create first fluorescent radiation; detecting the first fluorescent radiation; measuring a number of XRF counts on the planar wafer; creating an XRF model of the planar wafer; providing a portion of the 3D wafer comprising troughs and a second film deposited thereon; determining a multiplier factor between the portion of the 3D wafer and the planar wafer; exposing the portion of the 3D wafer to X-ray radiation to create second fluorescent radiation; detecting the second fluorescent radiation; measuring a number of XRF counts on the portion of the 3D wafer; calculating a step coverage of the portion of the 3D wafer; and determining a uniformity of the 3D wafer based on the step coverage of the portion of the 3D wafer.
    Type: Grant
    Filed: June 11, 2013
    Date of Patent: October 14, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Hanhong Chen, Edward Haywood, Pragati Kumar
  • Patent number: 8859299
    Abstract: In some embodiments, HPC techniques are applied to the screening and evaluating the materials, process parameters, process sequences, and post deposition treatment processes for the development of STT-RAM stacks. Simple test structures are employed for initial screening of basic materials properties of candidate materials for each layer within the stack. The use of multiple site-isolated regions on a single substrate allows many material and/or process conditions to be evaluated in a timely and cost effective manner. Interactions between the layers as well as interactions with the substrate can be investigated in a straightforward manner.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: October 14, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Imran Hashim
  • Patent number: 8859302
    Abstract: A semiconductor device including a charge storage element present in a buried dielectric layer of the substrate on which the semiconductor device is formed. Charge injection may be used to introduce charge to the charge storage element of the buried dielectric layer that is present within the substrate. The charge that is injected to the charge storage element may be used to adjust the threshold voltage (Vt) of each of the semiconductor devices within an array of semiconductor devices that are present on the substrate.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: October 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Ali Khakifirooz, Jin Cai, Kangguo Cheng, Robert H. Dennard, Tak H. Ning
  • Publication number: 20140302621
    Abstract: A semiconductor device manufacturing method is disclosed by which electron beam irradiation is accomplished at a low cost while exhibiting uniform characteristics. A wafer stack consisting of multiple stacked wafers is irradiated with an electron beam from both the front surface and reverse surface. As such, a semiconductor device manufacturing method is provided whereby the electrical characteristics are extremely uniform between wafers, and costs are reduced by reducing the number of electron beam irradiations.
    Type: Application
    Filed: April 7, 2014
    Publication date: October 9, 2014
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Yasushi NIIMURA
  • Patent number: 8852967
    Abstract: A multiple channel site-isolated reactor system and method are described. The system contains a reactor block with a plurality of reactors. Input lines are coupled to each reactor to provide a fluid to the respective reactors. A sealing element associated with each reactor contacts a surface of a substrate disposed below the reactor block, which defines isolated regions on the surface of the substrate. A dissolution rate monitor extends into each reactor to monitor a rate of real-time dissolution of one or more layers on the surface of the substrate when it is disposed proximate to the surface of the substrate.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: October 7, 2014
    Assignee: Intermolecular, Inc.
    Inventor: George Mirth
  • Patent number: 8852966
    Abstract: A semiconductor wafer, on the surface of which a silicon dioxide base material and an amorphous silicon thin film are formed in this order, is carried into a chamber. An insulated gate bipolar transistor (IGBT) is connected with a power supply circuit to a flash lamp, and the IGBT makes an energization period to the flash lamp to be 0.01 millisecond or more and 1 millisecond or less, consequently making a flash light irradiation time to be 0.01 millisecond or more and 1 millisecond or less. Since a flash heat treatment is performed with a remarkably short flash light irradiation time, the excessive heating of the thin film of amorphous silicon is suppressed and harmful influence such as the exfoliation of the film is prevented.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: October 7, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Hiroki Kiyama, Kazuhiko Fuse, Shinichi Kato
  • Patent number: 8850694
    Abstract: A xerographic micro-assembler system, method and apparatus that includes a sorting unit that is adapted to receive a plurality of micro-objects. The micro-objects can also be sorted and oriented on the sorting unit and then transferred to a substrate. The system, method and apparatus can also include a device for detecting errors in at least one of the micro-objects on the sorting unit and a protection means for preventing an improper micro-object from being transferred to the substrate. The system, method and apparatus can also include an organized micro-object feeder assembly that can transfer at least one of a plurality of micro-objects to the sorting unit or directly to the substrate.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: October 7, 2014
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Eugene M. Chow, Jeng Ping Lu, Meng H. Lean, David K Biegelsen
  • Patent number: 8853694
    Abstract: Provided are a chip on film (COF) package and semiconductor having the same. The COF package can include a flexible film having first and second surfaces opposite to and facing each other and including a conductive via penetrating from the first surface to the second surface, first and second conductive patterns respectively is on the first surface and the second surface and electrically connected to each other through the conductive via, an integrated circuit (IC) chip is on the first surface and electrically connected to the first conductive pattern, a test pad overlaps the conductive via and is electrically connected to at least one of the first conductive pattern and the second conductive pattern, and an external connection pattern is on the second surface spaced apart from the conductive via and electrically connected to the second conductive pattern.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: October 7, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Uk Han, Jeong-Kyu Ha, Young-Shin Kwon, Seung-Hwan Kim, Kwan-Jai Lee
  • Publication number: 20140292153
    Abstract: A resonator device comprising a piezoelectric material and at least one electrode, the device also provided with a material with a positive coefficient of stiffness, wherein the material is disposed in the device as an electrode or as a separate layer adjacent the piezoelectric material formed as one or more layers in the device. The material that performs the temperature compensating function is selected from the group consisting of ferromagnetic metal alloys, shape-memory metal alloys, and polymers, wherein the selected material has a temperature coefficient that varies with the relative amounts of the individual constituents of the compositions and wherein the composition is selected to provide the material with the positive coefficient of stiffness.
    Type: Application
    Filed: March 31, 2014
    Publication date: October 2, 2014
    Applicant: CYMATICS LABORATORIES CORP.
    Inventors: Rajarishi Sinha, David Francois Guillou
  • Publication number: 20140295582
    Abstract: A device includes a p-type metal-oxide-semiconductor (PMOS) device and an n-type metal-oxide-semiconductor (NMOS) device at a front surface of a semiconductor substrate. A first dielectric layer is disposed on a backside of the semiconductor substrate. The first dielectric layer applies a first stress of a first stress type to the semiconductor substrate, wherein the first dielectric layer is overlying the semiconductor substrate and overlapping a first one of the PMOS device and the NMOS device, and is not overlapping a second one of the PMOS device and the NMOS device. A second dielectric layer is disposed on the backside of the semiconductor substrate. The second dielectric layer applies a second stress to the semiconductor substrate, wherein the second stress is of a second stress type opposite to the first stress type. The second dielectric layer overlaps a second one of the PMOS device and the NMOS device.
    Type: Application
    Filed: September 30, 2013
    Publication date: October 2, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Fa Chen, I-Ching Lin
  • Patent number: 8846448
    Abstract: The present disclosure relates to a tool arrangement and method to reduce warpage within a package-on-package semiconductor structure, while minimizing void formation within an electrically-insulating adhesive which couples the packages. A pressure generator and a variable frequency microwave source are coupled to a process chamber which encapsulates a package-on-package semiconductor structure. The package-on-package semiconductor structure is simultaneously heated by the variable frequency microwave source at variable frequency, variable temperature, and variable duration and exposed to an elevated pressure by the pressure generator. This combination for microwave heating and elevated pressure limits the amount of warpage introduced while preventing void formation within an electrically-insulating adhesive which couples the substrates of the package-on-package semiconductor structure.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Meng-Tse Chen, Wei-Hung Lin, Kuei-Wei Huang, Tsai-Tsung Tsai, Ai-Tee Ang, Ming-Da Cheng, Chung-Shi Liu
  • Patent number: 8841142
    Abstract: A coating film (90) is formed by causing vapor deposition particles (91) discharged from a vapor deposition source opening (61) of a vapor deposition source (60) to pass through a space (82) between a plurality of limiting plates (81) of a limiting plate unit (80) and a mask opening (71) of a vapor deposition mask in this order and adhere to a substrate while the substrate is moved relative to the vapor deposition mask in a state in which the substrate (10) and the vapor deposition mask (70) are spaced apart at a fixed interval. It is determined whether or not it is necessary to correct the position of at least one of the plurality of limiting plates in the X axis direction, and in the case where it is necessary to correct the position, the position of at least one of the plurality of limiting plates in the X axis direction is corrected. Accordingly, a coating film whose edge blur is suppressed can be stably formed at a desired position on a large-sized substrate.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: September 23, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Shinichi Kawato, Satoshi Inoue, Tohru Sonoda
  • Patent number: 8841681
    Abstract: A wide-gap semiconductor substrate includes a narrow-gap semiconductor layer, a wide-gap semiconductor layer and an alignment mark. The narrow-gap semiconductor layer has a main surface. The wide-gap semiconductor layer is epitaxially grown on the narrow-gap semiconductor layer. The alignment mark is preliminarily carved in a prescribed position on the main surface so that the alignment mark is preliminarily buried in the wide-gap semiconductor substrate.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: September 23, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masahiko Kuraguchi
  • Publication number: 20140273303
    Abstract: The present disclosure provides one embodiment of an etch system. The etch system includes a tank designed to hold an etch solution for etching; a silicon monitor configured to measure silicon concentration of the etch solution; a drain module coupled to the tank and being operable to drain the etch solution; and a supply module being operable to fill in the tank with a fresh etch solution.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fu-Yi Chang, Yih-Song Chiu, Shao-Yen Ku
  • Publication number: 20140264864
    Abstract: One or more integrated circuit structures and techniques for forming such integrated circuit structures are provided. The integrated circuit structures comprise a conductive structure that is formed within a trench in a dielectric layer on a substrate. The conductive structure is formed over a barrier layer formed within the trench, or the conductive structure is formed over a liner formed over the barrier layer. At least some of the dielectric layer, the barrier layer, the liner and the conductive structure are removed, for example, by chemical mechanical polishing, such that a step height exists between a top surface of the substrate and a top surface of the dielectric layer. Removing these layers in this manner removes areas where undesired interlayer peeling is likely to occur. A conductive cap is formed on the conductive structure.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 18, 2014
    Inventors: Cheng-Hui Weng, Chun-Chieh Lin, Hung-Wen Su
  • Publication number: 20140273300
    Abstract: Forming a resistive memory structure at a temperature well above the operating temperature can reduce the forming voltage and create a defect distribution with higher stability and lower programming voltages. The forming temperature can be up to 200 C above the operating temperature. The memory chip can include an embedded heater in the chip package, allowing for a chip forming process after packaging.
    Type: Application
    Filed: November 5, 2013
    Publication date: September 18, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Dipankar Pramanik, Tony P. Chiang
  • Publication number: 20140273302
    Abstract: Disclosed are a method and a system for processing wafers in fabricating a semiconductor device where disposing chemicals and wafer heating are needed for chemical reaction. A wafer is placed above a wafer heater such that a second surface faces the wafer heater, and heated from the second surface. A chemical layer is formed on an opposing first surface. The wafer heater is sized and configured to be capable of heating the entire second surface, and adapted to produce a locally differential temperature profile if needed. During heating, an actual temperature profile on the wafer may be monitored and transmitted to a computing system, which may generate a target temperature profile and control the wafer heater to adjust local temperatures on the wafer according to the target temperature profile. A supplemental heater for heating the chemicals may be used for finer control of the wafer temperature.
    Type: Application
    Filed: January 31, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Hsueh Chang Chien, Chi-Ming Yang
  • Publication number: 20140264931
    Abstract: An integrated circuit structure includes a substrate, a plurality of low-k dielectric layers over the substrate, a first dielectric layer over the plurality of low-k dielectric layers, and a metal line in the first dielectric layer. A stress tuning dielectric layer is over the first dielectric layer, wherein the stress tuning dielectric layer includes a first opening and a second opening. The metal line extends into the first opening. The second opening has a bottom substantially level with a top surface of the first dielectric layer. A second dielectric layer is over the first dielectric layer.
    Type: Application
    Filed: July 19, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Yao Wang, Ying-Han Chiou, Ling-Sung Wang
  • Publication number: 20140264781
    Abstract: A method of fabricating a passivation layer and a passivation layer for an electronic device. The passivation layer includes at least one passivation film layer and at least one nanoparticle layer. A first film layer is formed of an insulating matrix, such as aluminum oxide (Al2O3) and a first layer of a noble metal nanoparticle layer, such as a platinum nanoparticle layer, is deposited on the first film layer. Additional layers are formed of alternating film layers and nanoparticle layers. The resulting passivation layer provides a thin and robust passivation layer of high film quality to protect electronic devices, components, and systems from the disruptive environmental conditions.
    Type: Application
    Filed: March 7, 2014
    Publication date: September 18, 2014
    Applicant: Robert Bosch GmbH
    Inventors: Ando Lars Feyh, Fabian Purkl, Andrew Graham, Gary Yama
  • Publication number: 20140270057
    Abstract: An apparatus having an X-ray sensor assembly with X-ray blocking pixels divided by X-ray transmitting gaps with the X-ray blocking pixels casting an X-ray blocking shadow; and a die containing signal processing electronics, with the signal processing electronics positioned substantially entirely within the X-ray blocking shadow. A method for detecting the alignment between the X-ray sensor assembly and the die is disclosed. Also disclosed is an X-ray computed tomography machine having a printed circuit board (“PCB”), a die embedded in the PCB, and a signal source wherein signals are routed to and from the die by traces on at least one of the surfaces of the PCB.
    Type: Application
    Filed: February 24, 2014
    Publication date: September 18, 2014
    Applicant: Texas Instruments Incorporated
    Inventors: Eduardo Bartolome, Sreenivasan K. Koduri
  • Publication number: 20140273309
    Abstract: Remote-plasma treatments of surfaces, for example in semiconductor manufacture, can be improved by preferentially exposing the surface to only a selected subset of the plasma species generated by the plasma source. The probability that a selected species reaches the surface, or that an unselected species is quenched or otherwise converted or diverted before reaching the surface, can be manipulated by introducing additional gases with selected properties either at the plasma source or in the process chamber, varying chamber pressure or flow rate to increase or decrease collisions, or changing the dimensions or geometry of the injection ports, conduits and other passages traversed by the species. Some example processes treat surfaces preferentially with relatively low-energy radicals, vary the concentration of radicals at the surface in real time, or clean and passivate in the same unit process.
    Type: Application
    Filed: October 10, 2013
    Publication date: September 18, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Sandip Niyogi, Sean Barstow, Jay Dedontney, Chi-I Lang, Ratsamee Limdulpaiboon, Martin Romero, Sunil Shanker, James Tsung, J. Watanabe
  • Publication number: 20140273304
    Abstract: Methods and apparatus for plasma-enhanced substrate processing are provided herein. In some embodiments, a method is provided for processing a substrate in a process chamber having a plurality of electromagnets disposed about the process chamber to form a magnetic field within the process chamber at least at a substrate level. In some embodiments, the method includes determining a first direction of an external magnetic field present within the process chamber while providing no current to the plurality of electromagnets; providing a range of currents to the plurality of electromagnets to create a magnetic field within the process chamber having a second direction opposing the first direction; determining a desired magnitude in the second direction of the magnetic field over the range of currents; and processing a substrate in the process chamber using a plasma while statically providing the magnetic field at the desired magnitude.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ALVARO GARCIA DE GORORDO, WAHEB BISHARA, SAMER BANNA
  • Publication number: 20140273299
    Abstract: Methods and systems are provided for fabricating and measuring physical features of a semiconductor device structure. An exemplary method of fabricating a semiconductor device structure involves obtaining a first measurement of a first attribute of the semiconductor device structure from a first metrology tool, obtaining process information pertaining to fabrication of one or more features of the semiconductor device structure by a first processing tool, and determining an adjusted measurement for the first attribute based at least in part on the first measurement in a manner that is influenced by the process information.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: GLOBALFOUNDRIES, INC.
    Inventors: Alok Vaid, Carsten Hartig
  • Publication number: 20140264761
    Abstract: In one embodiment, methods for making semiconductor devices are disclosed.
    Type: Application
    Filed: March 7, 2014
    Publication date: September 18, 2014
    Applicant: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Jan Sik, Petr Kostelník, Lukás Válek, Michal Lorenc, Milos Pospìsil, David Lysácek, John Michael Parsey, JR.
  • Publication number: 20140273301
    Abstract: An apparatus for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing, comprising a plurality of gas injectors for admitting a processing gas into an etching chamber. Each gas injector of the plurality of gas injectors is disposed along a track within the etching chamber and moveable along the track. Further, each gas injector is coupled with a throttling valve or nozzle to permit adjustment of processing gas flow rate. A method for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing includes performing a chemical deposition or etch using the plurality of moveable and adjustable gas injectors and measuring the critical dimension uniformity. Adjustments to the location of at least one gas injector or the processing gas flow rate to at least one gas injector are made to increase critical dimension uniformity.
    Type: Application
    Filed: November 26, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tzung-Shiun LU, Chun-Lang CHEN, Shih-Hao YANG, Jong-Yuh CHANG
  • Patent number: 8836342
    Abstract: A test structure and a process for the electromigration test of integrated circuits is suggested, in which metallization planes consisting of strip conductors of a usual thickness (11) are connected with metallization planes consisting of substantially thicker strip conductors (12) as they are required for the connection of components of higher performance.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: September 16, 2014
    Assignee: X-FAB Semiconductor Foundries AG
    Inventor: Verena Hein
  • Patent number: 8835922
    Abstract: A method of manufacturing a semiconductor device and a semiconductor device package are disclosed. A method of manufacturing a semiconductor device comprises the steps of testing the semiconductor device using at least a first monitoring pad connected to an internal circuit of the semiconductor device via at least a first fuse circuit; after testing the semiconductor device, electrically disconnecting the first monitoring pad from the internal circuit by opening the first fuse circuit; and after testing of the semiconductor device, electrically connecting at least a first auxiliary pad to the first monitoring pad with at least a first connecting terminal, wherein the first auxiliary pad is connected, through at least a first conductive line, to at least a first power pad of the semiconductor device.
    Type: Grant
    Filed: August 3, 2012
    Date of Patent: September 16, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Byung-Chul Kim
  • Patent number: 8836355
    Abstract: A plurality of sets of test conditions of a die in a stacked system is established, wherein the plurality of test conditions are functions of temperatures of the die, and wherein the stacked system comprises a plurality of stacked dies. A temperature of the die is measured. A respective set of test conditions of the die is found from the plurality of sets of test conditions, wherein the set of test conditions corresponds to the temperature. The die is at the temperature using the set of test conditions to generate test results.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mill-Jer Wang, Ching Nen Peng, Hung-Chih Lin, Hao Chen
  • Patent number: 8835194
    Abstract: A method of testing a semiconductor substrate having through substrate vias for current leakage which includes: forming a current leakage measurement structure that includes substrate contacts, sensing circuits to sense current leakage from the through substrate vias, the sensing circuits connected to the through substrate vias and to the substrate contacts so that there is a one-to-one correspondence of a substrate contact and sensing circuit to each through substrate via, and a built-in self test (BIST) engine to sense one of the through substrate vias for current leakage. A reference current is applied to the sensing circuits to set a current leakage threshold for the through substrate vias. A through substrate via is selected for sensing for current leakage. The sensing circuit senses the selected through substrate via to determine whether there is current leakage from the selected through substrate via.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Bhavana Bhoovaraghan, Mukta G. Farooq, Emily R. Kinser, Sudesh Saroop
  • Publication number: 20140252639
    Abstract: According to one embodiment, a method for producing a mask layout of an exposure mask for forming wiring of an integrated circuit device, includes estimating shape of the wiring formed based on an edge of a pattern included in an initial layout of the exposure mask. The method includes modifying shape of the edge if the estimated shape of the wiring does not satisfy a requirement.
    Type: Application
    Filed: August 19, 2013
    Publication date: September 11, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Motohiro OKADA, Shuhei SOTA, Takaki HASHIMOTO, Yasunobu KAI, Kazuyuki MASUKAWA, Yuko KONO, Chikaaki KODAMA, Taiga UNO, Hiromitsu MASHITA
  • Publication number: 20140252559
    Abstract: Provided is an alignment mark having a plurality of sub-resolution elements. The sub-resolution elements each have a dimension that is less than a minimum resolution that can be detected by an alignment signal used in an alignment process. Also provided is a semiconductor wafer having first, second, and third patterns formed thereon. The first and second patterns extend in a first direction, and the third pattern extend in a second direction perpendicular to the first direction. The second pattern is separated from the first pattern by a first distance measured in the second direction. The third pattern is separated from the first pattern by a second distance measured in the first direction. The third pattern is separated from the second pattern by a third distance measured in the first direction. The first distance is approximately equal to the third distance. The second distance is less than twice the first distance.
    Type: Application
    Filed: May 19, 2014
    Publication date: September 11, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, YA HUI CHANG, RU-GUN LlU, TSONG-HUA OU, KEN-HSIEN HSIEH, BURN JENG LIN