Having Substrate Registration Feature (e.g., Alignment Mark) Patents (Class 438/401)
  • Patent number: 9257283
    Abstract: A semiconductor device is disclosed along with methods for manufacturing such a device. In certain embodiments, the semiconductor device includes a source electrode formed using a metal that limits a shift, such as due to bias temperature instability, in a threshold voltage of the semiconductor device during operation. In certain embodiments the semiconductor device may be based on silicon carbide.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: February 9, 2016
    Assignee: General Electric Company
    Inventors: Joseph Darryl Michael, Stephen Daley Arthur, Tammy Lynn Johnson, David Alan Lilienfeld
  • Patent number: 9252061
    Abstract: A method of forming dummy structures and an overlay mark protection zone over an active layer zone based on the shape of an overlay mark and the resulting device are provided. Embodiments include determining a size and a shape of an overlay mark; determining a size and a shape of an overlay mark protection zone based on the shape of the overlay mark; determining a shape of a plurality of dummy structures based on the shape of the overlay mark; determining a size and a shape of an active layer zone based on the size and the shape of the overlay mark and the plurality of dummy structures; forming the active layer zone in an active layer of a semiconductor substrate; forming the overlay mark and the plurality of dummy structures over the active layer zone in a poly layer of the semiconductor substrate; and planarizing the poly layer.
    Type: Grant
    Filed: April 2, 2014
    Date of Patent: February 2, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Guoxiang Ning, Chan Seob Cho, Paul Ackmann, Jung Yu Hsieh, Hui Peng Koh
  • Patent number: 9252106
    Abstract: Provided is a method of fabricating a semiconductor device. The method of fabricating a semiconductor device includes preparing a substrate in which a scribe lane region and a chip region are defined, forming a trench in the scribe lane region of the substrate, forming a stopper layer in a part in the trench, and forming an alignment mark material on the stopper layer.
    Type: Grant
    Filed: August 7, 2014
    Date of Patent: February 2, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jeong-Kil Lee, Chan-Ho Park, Nam-Ki Cho, Won-Sang Choi
  • Patent number: 9230917
    Abstract: A method for processing a carrier may include forming at least one recess structure at least one of over and in the carrier; and annealing the at least one recess structure such that at least one hollow chamber is formed by material of the at least one recess structure, wherein the at least one hollow chamber may form an optical alignment structure.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: January 5, 2016
    Assignee: INFINEON TECHNOLOGIES DRESDEN GMBH
    Inventors: Tarja Hauck, Alessia Sciré, Dieter Kaiser, Andreas Greiner, Morgana Nicolo, Carolin Wetzig, Dietrich Burmeister
  • Patent number: 9225336
    Abstract: To provide a PLD having a reduced circuit area and an increased operation speed. In the circuit structure, a gate of a transistor provided between an input terminal and an output terminal of a programmable switch element is in an electrically floating state in a period when a signal is input to the programmable switch element. The structure enables the voltage of a gate to be increased by a boosting effect in response to a signal supplied from programmable logic elements, suppressing a reduction in amplitude voltage. This can reduce a circuit area by a region occupied by a booster circuit such as a pull-up circuit and increase operation speed.
    Type: Grant
    Filed: December 31, 2014
    Date of Patent: December 29, 2015
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takeshi Aoki, Takayuki Ikeda, Yoshiyuki Kurokawa, Munehiro Kozuma
  • Patent number: 9190488
    Abstract: One method disclosed includes forming a replacement gate structure for a device. The method includes forming a gate cavity above a semiconductor substrate. The method further includes forming a first bulk metal layer in the gate cavity above a work function metal layer. The method further includes forming a conductive etch stop layer in the gate cavity above the first bulk metal layer. The method further includes forming a second bulk metal layer in the gate cavity above the conductive etch stop layer. The method further includes performing at least one etching process to recess the first and second bulk metal layers selectively relative to the conductive etch stop layer. The method further includes performing at least one etching process to recess at least the conductive etch stop layer.
    Type: Grant
    Filed: August 13, 2014
    Date of Patent: November 17, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Chanro Park, Hoon Kim, Min Gyu Sung
  • Patent number: 9129974
    Abstract: An overlay mark suitable for use in manufacturing nonplanar circuit devices and a method for forming the overlay mark are disclosed. An exemplary embodiment includes receiving a substrate having an active device region and an overlay region. One or more dielectric layers and a hard mask are formed on the substrate. The hard mask is patterned to form a hard mask layer feature configured to define an overlay mark fin. Spacers are formed on the patterned hard mask layer. The spacers further define the overlay mark fin and an active device fin. The overlay mark fin is cut to form a fin line-end used to define a reference location for overlay metrology. The dielectric layers and the substrate are etched to further define the overlay mark fin.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: September 8, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Wen Hsieh, Chi-Kang Chang, Chia-Chu Liu, Meng-Wei Chen, Kuei-Shun Chen
  • Patent number: 9123657
    Abstract: A method of fabricating a semiconductor device is provided. The method may include forming an interlayer insulating layer on a structure with a cell region and a peripheral circuit region, forming a first mask layer on the interlayer insulating layer, forming trenches in the first mask layer exposing the interlayer insulating layer by patterning the first mask layer on the peripheral circuit region, and forming key mask patterns in the trenches. An etch selectivity of the first mask patterns with respect to the interlayer insulating layer may be greater than that of the key mask patterns with respect to the interlayer insulating layer.
    Type: Grant
    Filed: July 9, 2014
    Date of Patent: September 1, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Minjoon Park, Junho Yoon, Je-Woo Han, Chan-Won Kim
  • Patent number: 9117775
    Abstract: A method of aligning a new pattern to more than one previously defined pattern during the manufacture of an integrated circuit. A method of aligning a photolighography pattern reticle to a first previously defined pattern in a first direction and also aligning the photolithography pattern reticle to a second previously defined pattern in a second direction. A method of aligning a photolighography pattern reticle to two previously defined patterns in the same direction.
    Type: Grant
    Filed: May 24, 2012
    Date of Patent: August 25, 2015
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Thomas John Aton, Steven Lee Prins, Scott William Jessen
  • Patent number: 9097991
    Abstract: An exposure apparatus exposes a resist on a substrate to light via an optical system. The exposure apparatus includes: a table configured to position the substrate at an exposure position upon holding the substrate; an obtaining unit configured to obtain a distance from an alignment mark formed on the substrate to a resist surface, and a tilt of the resist surface; and a control unit configured to calculate a correction value for correcting a shift in exposure position, that occurs upon tilt correction of the table, so as to reduce the tilt of the resist surface, using the distance and the tilt, and control a position of the table in accordance with the correction value.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: August 4, 2015
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Noritoshi Sakamoto
  • Patent number: 9094624
    Abstract: A solid-state imaging apparatus which includes a semiconductor portion having a first face on the light incident side and a second face opposite to the first face, and an optical system arranged on the first face, comprising a first semiconductor region of a first conductivity type provided on the second face side in the semiconductor region, a photoelectric conversion portion provided in the semiconductor portion so as to surround the first semiconductor region, including a second semiconductor region of the first conductivity type, and a gate electrode arranged between the first and the second semiconductor regions on the second face, for transferring a charge generated in the photoelectric conversion portion to the first semiconductor region, wherein the optical system is configured so that a light intensity in the second semiconductor region is higher than that in the first semiconductor region.
    Type: Grant
    Filed: April 30, 2014
    Date of Patent: July 28, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Mineo Shimotsusa, Takehiko Soda
  • Patent number: 9082940
    Abstract: A method for producing an encapsulating layer-covered semiconductor element includes the steps of preparing a support sheet including a hard support board; disposing a semiconductor element at one side in a thickness direction of the support sheet; disposing an encapsulating layer formed from an encapsulating resin composition containing a curable resin at the one side in the thickness direction of the support sheet so as to cover the semiconductor element; curing the encapsulating layer to encapsulate the semiconductor element by the encapsulating layer that is flexible; cutting the encapsulating layer that is flexible corresponding to the semiconductor element to produce an encapsulating layer-covered semiconductor element; and peeling the encapsulating layer-covered semiconductor element from the support sheet.
    Type: Grant
    Filed: June 10, 2013
    Date of Patent: July 14, 2015
    Assignee: NITTO DENKO CORPORATION
    Inventors: Yuki Ebe, Hiroyuki Katayama, Ryuichi Kimura, Hidenori Onishi, Kazuhiro Fuke
  • Patent number: 9059102
    Abstract: Cut spacer reference marks, targets having such cut spacer reference marks, and methods of making the same by forming spacer gratings around grating lines on a first layer, and fabricating an angled template mask that extends across and resides at an angle with respect to such spacer gratings. Angled, cut spacer gratings are etched into a second layer using the angled template mask to superimpose at least a portion of the spacer gratings of the first layer into the second layer.
    Type: Grant
    Filed: August 15, 2013
    Date of Patent: June 16, 2015
    Assignee: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Nelson M. Felix, Scott D. Halle
  • Patent number: 9059001
    Abstract: A method of fabricating a semiconductor device includes forming a plurality of line element on a provided substrate. The plurality of line elements includes a first line element having a first region having a first width and a biased region having a second width. The second width different than the first width. Spacer elements are then formed abutting sidewalls of each of the plurality of line elements including the biased region where the spacer elements may be shifted. After forming the spacer elements, the plurality of line elements from the substrate are removed from the substrate. An underlying layer is etched using the spacer elements after removing the plurality of line elements.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: June 16, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Chu Liu, Minchang Liang, Mu-Chi Chiang, Kuei Shun Chen
  • Patent number: 9054002
    Abstract: A process of forming an isolation region that defines an active region on a semiconductor wafer, a process of forming a photoelectric conversion element in the active region defined by the isolation region, and a process of forming a micro lens over the photoelectric conversion element are provided. Alignment in the process of forming the photoelectric conversion element and alignment in the process of forming the micro lens are performed using an alignment mark formed in the process of forming the isolation region.
    Type: Grant
    Filed: August 8, 2012
    Date of Patent: June 9, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Mikio Arakawa, Masataka Ito
  • Patent number: 9041229
    Abstract: Systems, manufactures, methods and/or techniques for a merged fiducial for chip packages are described. According to some embodiments, an integrated circuit package may include a package substrate having a first side and a second side, a plurality of conductive traces coupled to the first side and a plurality of balls disposed on the second side. The balls may be adapted to electrically connect the laminate package to a circuit board. The integrated circuit package may include a plurality of ball pads disposed on the second side, the ball pads being adapted to electrically connect the plurality of balls to the plurality of conductive traces. One or more of the ball pads may be uniquely shaped when compared to the rest of the plurality of ball pads, optionally, to serve as a fiducial to designate an A1 pin or ball of the laminate package.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: May 26, 2015
    Assignee: Amkor Technology, Inc.
    Inventor: Joseph G. Johnson
  • Patent number: 9034720
    Abstract: A method and a device are provided for diffracting incident light from a lithographic scanner in an IC process flow. Embodiments include forming a diffraction grating in a first layer on a semiconductor substrate; and forming a plurality of lithographic alignment marks in a second layer, overlying the first layer, wherein the diffraction grating has a width and a length greater than or equal to a width and length, respectively, of the plurality of lithographic alignment marks.
    Type: Grant
    Filed: August 17, 2012
    Date of Patent: May 19, 2015
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Hui Liu, Wen Zhan Zhou, Zheng Zou, Qun Ying Lin, Alex Kai Hung See
  • Patent number: 9034721
    Abstract: A semiconductor substrate is provided in which an alignment mark is formed that can be used for an alignment even after the formation of an impurity diffused layer by the planarization of an epitaxial film. A trench is formed in an alignment region of an N?-type layer formed on an N+-type substrate. This trench is used to leave voids after the formation of a P?-type epitaxial film on the N?-type layer. Then, the voids formed in the N?-type layer can be used as an alignment mark. Thus, such a semiconductor substrate can be used to provide an alignment in the subsequent step of manufacturing the semiconductor apparatus. Thus, the respective components constituting the semiconductor apparatus can be formed at desired positions accurately.
    Type: Grant
    Filed: July 31, 2014
    Date of Patent: May 19, 2015
    Assignees: SUMCO CORPORATION, DENSO CORPORATION
    Inventors: Syouji Nogami, Tomonori Yamaoka, Shoichi Yamauchi, Nobuhiro Tsuji, Toshiyuki Morishita
  • Patent number: 9035308
    Abstract: A semiconductor package, comprising: a semiconductor substrate; a mold layer on the semiconductor substrate; and a marking formed on a surface of the mold layer, the marking comprising dot markings substantially discontinuously arranged in vertical and horizontal directions of a display region. An effective area of the dot markings within a unit display region of the marking is smaller than about half a total area of the unit display region.
    Type: Grant
    Filed: March 4, 2014
    Date of Patent: May 19, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Choongbin Yim, Hyeongmun Kang, Taesung Park, Eunchul Ahn
  • Patent number: 9034734
    Abstract: Methods are provided for using masking techniques and plasma etching techniques to dice a compound semiconductor wafer into dies. Using these methods allows compound semiconductor die to be obtained that have smooth side walls, a variety of shapes and dimensions, and a variety of side wall profiles. In addition, by using these techniques to perform the dicing operations, the locations of features of the die relative to the side walls are ascertainable with certainty such that one or more of the side walls can be used as a passive alignment feature to precisely align one or more of the die with an external device.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: May 19, 2015
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventors: Chee Siong Peh, Chiew Hai Ng, David G. McIntyre
  • Patent number: 9029195
    Abstract: A method of manufacturing a semiconductor device includes mounting at least one of a first semiconductor chip and a second semiconductor chip over a die pad of a leadframe, and inspecting a mounting position of at least one of the first semiconductor chip and the second semiconductor chip, wherein the leadframe includes first mark formed to the die pad, for indicating a first mounting region for the first semiconductor chip, and second mark formed to the die pad, for indicating a second mounting region for the second semiconductor chip, the first mark is different from the second mark, in at least either one of size and geometry, wherein, in the inspecting a mounting position of at least one of the first semiconductor chip and the second semiconductor chip, a mounting position of the first semiconductor chip is inspected when the first semiconductor chip is mounted.
    Type: Grant
    Filed: May 2, 2014
    Date of Patent: May 12, 2015
    Assignee: Renesas Electronics Corporation
    Inventor: Kenji Nishikawa
  • Patent number: 9029986
    Abstract: Semiconductor devices are provided with dual passivation layers. A semiconductor layer is formed on a substrate and covered by a first passivation layer (PL-1). PL-1 and part of the semiconductor layer are etched to form a device mesa. A second passivation layer (PL-2) is formed over PL-1 and exposed edges of the mesa. Vias are etched through PL-1 and PL-2 to the semiconductor layer where source, drain and gate are to be formed. Conductors are applied in the vias for ohmic contacts for the source-drain and a Schottky contact for the gate. Interconnections over the edges of the mesa couple other circuit elements. PL-1 avoids adverse surface states near the gate and PL-2 insulates edges of the mesa from overlying interconnections to avoid leakage currents. An opaque alignment mark is desirably formed at the same time as the device to facilitate alignment when using transparent semiconductors.
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: May 12, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Bruce M. Green, Haldane S. Henry
  • Patent number: 9021983
    Abstract: According to one embodiment, a stage apparatus includes a height control unit includes height control elements each which is drove in an upward/downward direction independently, a measuring unit which divides an upper surface of the substrate into areas, and measures a height of each of the areas. The control unit is configured to set the height of each of the areas independently by controlling a height of each of the height control elements based on a data value, determine using the measuring unit whether the height of each of the areas in the upper surface of the substrate is in a allowable range, and set the height of the area out of the allowable range again by the height control elements.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: May 5, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryoichi Inanami, Shinichi Ito, Hiroshi Koizumi, Akihiro Kojima
  • Patent number: 9024448
    Abstract: A semiconductor package may include a circuit board chip having a through-hole, a semiconductor device mounted on the circuit board chip, and an encapsulant. The encapsulant encapsulates the semiconductor device, fills the through-hole and has an external pattern that is the complement of a mold within which the encapsulant was formed. The external pattern on one side of the package reflects a mold shape that retards the flow of encapsulant material relative to the flow of encapsulant material on the opposite side of the package.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: May 5, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Gwon Jang, Young-Lyong Kim, Ae-Nee Jang
  • Patent number: 9018073
    Abstract: A method of manufacturing a semiconductor device includes: forming a recessed portion in a semiconductor substrate; forming an insulating film in the recessed portion; after forming the insulating film, forming a silicide layer on the semiconductor substrate in contact with the insulating film; and performing alignment between an electron beam exposure apparatus and the semiconductor substrate by using the insulating film and the silicide layer as an alignment mark.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: April 28, 2015
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Makoto Kawano, Shigeki Yoshida
  • Publication number: 20150102466
    Abstract: Methods for forming a layer of semiconductor material and a semiconductor-on-insulator structure are provided. A substrate including one or more devices or features formed therein is provided. A seed layer is bonded to the substrate, where the seed layer includes a crystalline semiconductor structure. A first portion of the seed layer that is adjacent to an interface between the seed layer and the substrate is amorphized. A second portion of the seed layer that is not adjacent to the interface is not amorphized and maintains the crystalline semiconductor structure. Dopant implantation is performed to form an N-type conductivity region or a P-type conductivity region in the first portion of the seed layer. A solid-phase epitaxial growth process is performed to crystallize the first portion of the seed layer. The SPE growth process uses the crystalline semiconductor structure of the second portion of the seed layer as a crystal template.
    Type: Application
    Filed: May 15, 2014
    Publication date: April 16, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventor: JEAN-PIERRE COLINGE
  • Patent number: 8999810
    Abstract: A method of making a stacked microelectronic package by forming a microelectronic assembly by stacking a first subassembly including a plurality of microelectronic elements onto a second subassembly including a plurality of microelectronic elements, at least some of the plurality of microelectronic elements of said first subassembly and said second subassembly having traces that extend to respective edges of the microelectronic elements, then forming notches in the microelectronic assembly so as to expose the traces of at least some of the plurality of microelectronic elements, then forming leads at the side walls of the notches, the leads being in electrical communication with at least some of the traces and dicing the assembly into packages. Additional embodiments include methods for creating stacked packages using substrates and having additional traces that extend to both the top and bottom of the package.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: April 7, 2015
    Assignee: Tessera, Inc.
    Inventors: Belgacem Haba, Vage Oganesian
  • Patent number: 9000597
    Abstract: A semiconductor device and a method of making a semiconductor device are disclosed. The method of manufacturing a semiconductor device comprises forming a material layer on a substrate, patterning a first semi-global region with a first main pattern and patterning a second semi-global region with a second main pattern, wherein the first main pattern is different than the second main pattern. The method further comprises introducing a first dummy pattern in the first semi-global region so that a first sidewall area surface density of the first main pattern and the first dummy pattern in the first semi-global region and a second sidewall area surface density of the second main pattern in the second semi-global region are substantially a same density.
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: April 7, 2015
    Assignee: Infineon Technologies AG
    Inventors: Frank Huebinger, Steffen Rothenhaeusser, Kerstin Kaemmer
  • Publication number: 20150091090
    Abstract: A semiconductor device structure and a method of fabricating a semiconductor device structure are provided. A first device layer is formed over a substrate, where an alignment structure is patterned in the first device layer. A dielectric layer is provided over the first device layer. The dielectric layer is patterned to include an opening over the alignment structure. A second device layer is formed over the dielectric layer. The second device layer is patterned using a mask layer, where the mask layer includes a structure that is aligned relative to the alignment structure. The alignment structure is visible via the opening during the patterning of the second device layer.
    Type: Application
    Filed: October 2, 2013
    Publication date: April 2, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: YASUTOSHI OKUNO, YI-TANG LIN
  • Publication number: 20150087131
    Abstract: A method for processing a chip is provided. The method may include: providing a chip having a front side and a back side; and forming an orientation marker on the back side of the chip by forming a hole into the chip from the front side of the chip, the hole forming the orientation marker.
    Type: Application
    Filed: September 20, 2013
    Publication date: March 26, 2015
    Applicant: Infineon Technologies AG
    Inventors: Stefan Martens, Raimund Peichl
  • Publication number: 20150076613
    Abstract: An overlay mark comprises a first feature in a first layer. The first feature has a length extending in a first longitudinal direction and a width extending in a second longitudinal direction. The length of the first feature is greater than the width of the first feature. The overlay mark also comprises a second feature in a second layer over the first layer. The second feature has a length extending in the second longitudinal direction and a width extending in the first longitudinal direction. The length of the second feature is greater than the width of the second feature. The overlay mark further comprises a third feature in a third layer over the second layer. The third feature is a box-shaped opening in the third layer.
    Type: Application
    Filed: November 24, 2014
    Publication date: March 19, 2015
    Inventors: Chen-Yu CHEN, Ming-Feng SHIEH, Ching-Yu CHANG
  • Patent number: 8980724
    Abstract: A system and method of manufacturing a semiconductor device lithographically and an article of manufacture involving a lithographic double patterning process having a dye added to either the first or second lithographic pattern are provided. The dye is used to detect the location of the first lithographic pattern and to directly align the second lithographic pattern to it. The dye may be fluorescent, luminescent, absorbent, or reflective at a specified wavelength or a given wavelength band. The wavelength may correspond to the wavelength of an alignment beam. The dye allows for detection of the first lithographic pattern even when it is over coated with a radiation sensitive-layer (e.g., resist).
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: March 17, 2015
    Assignees: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Harry Sewell, Mircea Dusa, Richard Johannes Franciscus Van Haren, Manfred Gawein Tenner, Maya Angelova Doytcheva
  • Patent number: 8975150
    Abstract: A transparent board is positioned on a support board provided with a positioning mark, and a release material is provided. A semiconductor element is then positioned so that the electrode element faces upward, and the support board is then removed. An insulating resin is then formed on the release material so as to cover the semiconductor element; and a via, a wiring layer, an insulation layer, an external terminal, and a solder resist are then formed. The transparent board is then peeled from the semiconductor device through the use of the release material. A chip can thereby be mounted with high precision, there is no need to provide a positioning mark during mounting of the chip on the substrate in the manufacturing process, and the substrate can easily be removed. As a result, a semiconductor device having high density and a thin profile can be manufactured at low cost.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: March 10, 2015
    Assignee: Renesas Electronics Corporation
    Inventors: Kentaro Mori, Shintaro Yamamichi, Hideya Murai, Takuo Funaya, Masaya Kawano, Takehiko Maeda, Kouji Soejima
  • Patent number: 8962440
    Abstract: A semiconductor device including a semiconductor element; a pad electrode that is formed on the semiconductor element; an alignment mark that is formed on the semiconductor element; a connection electrode that is formed on the pad electrode; and an underfill resin that is formed to cover the connection electrode. The height of the alignment mark from the semiconductor element is greater than that of the connection electrode.
    Type: Grant
    Filed: August 19, 2014
    Date of Patent: February 24, 2015
    Assignee: Sony Corporation
    Inventor: Satoru Wakiyama
  • Publication number: 20150050755
    Abstract: Cut spacer reference marks, targets having such cut spacer reference marks, and methods of making the same by forming spacer gratings around grating lines on a first layer, and fabricating a template mask that extends across and perpendicular to such spacer gratings. Cut spacer gratings are etched into a second layer using the template mask to superimpose at least a portion of the spacer gratings of the first layer into the second layer.
    Type: Application
    Filed: August 15, 2013
    Publication date: February 19, 2015
    Applicant: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Nelson M. Felix, Scott D. Halle
  • Publication number: 20150048525
    Abstract: Cut spacer reference marks, targets having such cut spacer reference marks, and methods of making the same by forming spacer gratings around grating lines on a first layer, and fabricating an angled template mask that extends across and resides at an angle with respect to such spacer gratings. Angled, cut spacer gratings are etched into a second layer using the angled template mask to superimpose at least a portion of the spacer gratings of the first layer into the second layer.
    Type: Application
    Filed: August 15, 2013
    Publication date: February 19, 2015
    Applicant: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Nelson M. Felix, Scott D. Halle
  • Patent number: 8956946
    Abstract: Methods for forming RX pads having gate alignment marks configured to enable noise reduction between layers while resulting in little or no non-uniformity of CMP processes for the IC, and the resulting devices, are disclosed. Embodiments include: providing, on a substrate, a RX pad having a SPM with a SPM horizontal and vertical positions at horizontal and vertical midpoints, respectively, of the first RX pad; providing a second RX pad abutting the first RX pad and a first STI pad abutting the second RX pad, each having a vertical midpoint at the SPM vertical position; forming a first gate alignment mark on the second RX pad and having vertical endpoints horizontally aligned with vertical endpoints of the second RX pad; and forming a second gate alignment mark on the first STI pad and having vertical endpoints horizontally aligned with vertical endpoints of the first STI pad.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: February 17, 2015
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Ming Hao Tang, Michael Hsieh, Frank Kahlenberg
  • Patent number: 8956947
    Abstract: A semiconductor substrate is provided in which an alignment mark is formed that can be used for an alignment even after the formation of an impurity diffused layer by the planarization of an epitaxial film. A trench is formed in an alignment region of an N?-type layer formed on an N+-type substrate. This trench is used to leave voids after the formation of a P?-type epitaxial film on the N?-type layer. Then, the voids formed in the N?-type layer can be used as an alignment mark. Thus, such a semiconductor substrate can be used to provide an alignment in the subsequent step of manufacturing the semiconductor apparatus. Thus, the respective components constituting the semiconductor apparatus can be formed at desired positions accurately.
    Type: Grant
    Filed: July 31, 2014
    Date of Patent: February 17, 2015
    Assignees: Sumco Corporation, Denso Corporation
    Inventors: Syouji Nogami, Tomonori Yamaoka, Shoichi Yamauchi, Nobuhiro Tsuji, Toshiyuki Morishita
  • Patent number: 8952454
    Abstract: An SOI wafer according to the present invention includes a support substrate and an insulating layer formed on the support substrate, a predetermined cavity pattern being formed on one of main surfaces of the support substrate on which the insulating layer is provided, further includes an active semiconductor layer formed on the insulating layer with the cavity pattern being closed, the active semiconductor layer not being formed in an outer peripheral portion of the support substrate, and further includes a plurality of superposition mark patterns formed in the outer peripheral portion on the one of the main surfaces of the support substrate for specifying a position of the cavity pattern.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: February 10, 2015
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kazuhiro Shimizu, Junichi Yamashita, Takuichiro Shitomi
  • Patent number: 8951874
    Abstract: Disclosed is a semiconductor device manufacturing method comprising: forming an element isolation region in one principal face of a semiconductor substrate of one conductivity type; forming a gate electrode extending from an element region to the element isolation region at both sides of the element region in a first direction, both end portions of the gate electrode in the first direction being on the element isolation region and respectively including a concave portion and protruding portions at both sides of the concave portion; carrying out ion implantation of impurities of the one conductivity type from a direction tilted from a direction perpendicular to the one principal face toward the first direction so that first and second impurity implantation regions of the one conductivity type are formed in the one principal face in two end regions of the element region in the first direction.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: February 10, 2015
    Assignee: LAPIS Semiconductor Co., Ltd.
    Inventor: Eisuke Seo
  • Publication number: 20150028500
    Abstract: Methods for forming an alignment mark and the resulting mark are disclosed. Embodiments may include forming a first shape having rotational symmetry; forming a second shape; and forming an alignment mark by combining the first shape and one or more of the second shape, wherein the alignment mark has rotational symmetry.
    Type: Application
    Filed: July 26, 2013
    Publication date: January 29, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Guoxiang NING, Soon Yoeng TAN, Seok Yan POH, Paul ACKMANN
  • Publication number: 20150028499
    Abstract: A method for forming an alignment feature for back side wafer processing in a wafer fabrication process involves forming a trench into but not entirely through a wafer from a top side of the wafer; forming a contrasting material on surfaces of the trench; and grinding a bottom side of the wafer to expose the trench using the handling wafer to handle the wafer during such grinding, wherein the contrasting material lining the exposed trench provides an alignment reference for precise alignment of the wafer for back side processing the wafer.
    Type: Application
    Filed: July 23, 2013
    Publication date: January 29, 2015
    Applicant: Analog Devices, Inc.
    Inventors: Christine H. Tsau, William David Sawyer, Thomas Kieran Nunan
  • Patent number: 8941832
    Abstract: Alignment of layers during manufacture of a multi-layer sample is controlled by applying optical measurements to a measurement site in the sample. The measurement site includes two diffractive structures located one above the other in two different layers, respectively. The optical measurements include at least two measurements with different polarization states of incident light, each measurement including illuminating the measurement site so as to illuminate one of the diffractive structures through the other. The diffraction properties of the measurement site are indicative of a lateral shift between the diffractive structures. The diffraction properties detected are analyzed for the different polarization states of the incident light to determine an existing lateral shift between the layers.
    Type: Grant
    Filed: January 23, 2013
    Date of Patent: January 27, 2015
    Assignee: Nova Measuring Instruments, Ltd.
    Inventors: Boaz Brill, Moshe Finarov, David Schiener
  • Publication number: 20150021781
    Abstract: A semiconductor device has a plurality of first opening portions formed in an interlayer insulating film. The surface is covered with a metal film with a surface having concavities and convexities which scatter reflected light. Size of the first opening portion is of the same level as a contact hole of a component and cannot be recognized by an image recognition apparatus. The metal film can be recognized by the image recognition apparatus. By forming a TiN film serving as a reflection prevention film on an end of the metal film, portions that can easily scatter light and a portion that cannot easily reflect light are adjacent in an alignment marker. A passivation film is formed on the interlayer insulating film and the TiN film. Recessed portions disposed in the metal film are exposed to a second opening portion formed in the passivation film and the TiN film.
    Type: Application
    Filed: July 10, 2014
    Publication date: January 22, 2015
    Inventors: Mutsuo NISHIKAWA, Yuko FUJIMOTO, Kazuhiro MATSUNAMI
  • Publication number: 20150014868
    Abstract: Embodiments of the present invention disclose a thin film transistor array substrate, a method of manufacturing the same, and display device. A method of manufacturing a thin film transistor array substrate, comprises: forming a resin layer on a substrate formed with a thin film transistor array, patterning the resin layer by using a mask process to form a spacer and a contact hole filling layer, the contact hole filing layer is used for filling contact holes on the thin film transistor array substrate; forming an alignment film on the substrate patterning with the spacer and the contact hole filing layer.
    Type: Application
    Filed: October 1, 2014
    Publication date: January 15, 2015
    Inventors: Hyun Sic CHOI, Zhiqiang XU, Hui LI
  • Publication number: 20150008598
    Abstract: According to one embodiment, an alignment mark provided on an underlayer includes a plurality of first guide pattern features, and a first self-assembled film. The first guide pattern features extend in a first direction and are aligned in a second direction crossing the first direction. The first self-assembled film is provided between adjacent ones of the first guide pattern features and includes a plurality of first line pattern features and a second line pattern feature. The first line pattern features extends in the first direction, is aligned in the second direction, and has a pitch in the second direction narrower than a pitch in the second direction of the first guide pattern features. The second line pattern feature is provided between adjacent ones of the first line pattern features and extends in the first direction.
    Type: Application
    Filed: January 10, 2014
    Publication date: January 8, 2015
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Yoshinori HAGIO
  • Patent number: 8922774
    Abstract: A method includes a first step of forming a circuit pattern and an alignment mark on a substrate and a second step of measuring a position of the alignment mark and positioning the substrate. The alignment mark includes a first linear pattern arranged on one side of a first straight line, a second linear pattern arranged on the other side of the first straight line, a third linear pattern arranged on one side of a second straight line, and a fourth linear pattern arranged on the other side of the second straight line. The first step includes determining total number of the third and fourth linear patterns to be formed and total number of the first and second linear patterns to be formed based on required precisions in directions along the first and second straight lines.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: December 30, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Koichi Sentoku, Shigeki Ogawa, Hideki Ina
  • Publication number: 20140370685
    Abstract: Provided is a novel method for forming a groove composed of two smooth inclined surfaces on a surface of a flat plate formed of a nitride semiconductor crystal having an A, C, M-axes. In the present invention, a disk-shaped dicing blade is moved along a direction of the A-axis to form first and second inclined surfaces on the surface of the flat plate. The following mathematical formulae (I)-(III) are satisfied: 45 degrees??b?a?60 degrees (I) 45 degrees??b+a?60 degrees (II), 0 degrees?|a|?7.5 degrees, where angle ?b represents an angle formed between a surface of the edge and a radial direction of the dicing blade in a cross-sectional view which includes the M-axis and the C-axis. The angle a represents an angle formed between the principal surface and the M-axis.
    Type: Application
    Filed: June 6, 2014
    Publication date: December 18, 2014
    Inventors: AKIRA INOUE, TOSHIYUKI FUJITA, TOSHIYA YOKOGAWA
  • Publication number: 20140353852
    Abstract: A method for processing a carrier may include forming at least one recess structure at least one of over and in the carrier; and annealing the at least one recess structure such that at least one hollow chamber is formed by material of the at least one recess structure, wherein the at least one hollow chamber may form an optical alignment structure.
    Type: Application
    Filed: May 29, 2013
    Publication date: December 4, 2014
    Applicant: Infineon Technologies Dresden GmbH
    Inventors: Tarja Hauck, Alessia Sciré, Dieter Kaiser, Andreas Greiner, Morgana Nicolo, Carolin Wetzig, Dietrich Burmeister
  • Patent number: 8900966
    Abstract: Provided is an apparatus that includes an integrated circuit located in a first region of a substrate having first and second opposing major surfaces and an alignment mark located in a second region of the substrate and extending through the substrate between the first and second surfaces.
    Type: Grant
    Filed: October 2, 2013
    Date of Patent: December 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jen-Cheng Liu, Dun-Nian Yaung, Shou-Gwo Wuu