Nondopant Implantation Patents (Class 438/407)
  • Patent number: 7820524
    Abstract: A manufacturing method of an SOI substrate which possesses a base substrate having low heat resistance and a very thin semiconductor layer having high planarity is demonstrated. The method includes: implanting hydrogen ions into a semiconductor substrate to form an ion implantation layer; bonding the semiconductor substrate and a base substrate such as a glass substrate, placing a bonding layer therebetween; heating the substrates bonded to each other to separate the semiconductor substrate from the base substrate, leaving a thin semiconductor layer over the base substrate; irradiating the surface of the thin semiconductor layer with laser light to improve the planarity and recover the crystallinity of the thin semiconductor layer; and thinning the thin semiconductor layer. This method allows the formation of an SOI substrate which has a single-crystalline semiconductor layer with a thickness of 100 nm or less over a base substrate.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: October 26, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Akihisa Shimomura, Tatsuya Mizoi, Eiji Higa, Yoji Nagano
  • Patent number: 7807545
    Abstract: A SIMOX wafer having a BOX layer with a thin film thickness is obtained without a reduction in productivity or deterioration in quality. In a method for manufacturing a SIMOX wafer comprising: a step of forming a first ion-implanted layer in a silicon wafer; a step of forming a second ion-implanted layer that is in an amorphous state; and a high-temperature heat treatment step of maintaining the wafer in an oxygen contained atmosphere at a temperature that is not lower than 1300° C. but less than a silicon melting point for 6 to 36 hours to change the first and the second ion-implanted layers into a BOX layer, a gas containing chlorine that is not less than 0.1 volume % but less than 1.0 volume % is mixed into an atmosphere during temperature elevation in the high-temperature heat treatment.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: October 5, 2010
    Assignee: Sumco Corporation
    Inventors: Yoshiro Aoki, Yukio Komatsu, Tetsuya Nakai, Seiichi Nakamura
  • Patent number: 7790565
    Abstract: Methods and apparatus for producing a semiconductor on glass (SiOG) structure include: subjecting an implantation surface of a donor semiconductor wafer to an ion implantation process to create an exfoliation layer in the donor semiconductor wafer; bonding the implantation surface of the exfoliation layer to a glass substrate using electrolysis; separating the exfoliation layer from the donor semiconductor wafer, thereby exposing at least one cleaved surface; and subjecting the at least one cleaved surface to a wet etching process.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: September 7, 2010
    Assignee: Corning Incorporated
    Inventors: Kishor Purushottam Gadkaree, Michael John Moore, Mark Andrew Stocker, Jiangwei Feng, Joseph Frank Mach
  • Patent number: 7772646
    Abstract: There is a method of manufacturing a semiconductor device with a semiconductor body comprising a semiconductor substrate and a semiconductor region which are separated from each other with an electrically insulating layer which includes a first and a second sub-layer which, viewed in projection, are adjacent to one another, wherein the first sub-layer has a smaller thickness than the second sub-layer, and wherein, in a first sub-region of the semiconductor region lying above the first sub-layer, at least one digital semiconductor element is formed and, in a second sub-region of the semiconductor region lying above the second sub-layer, at least one analog semiconductor element is formed. According to an example embodiment, the second sub-layer is formed in that the lower border thereof is recessed in the semiconductor body in relation to the lower border of the first sub-layer Fully depleted SOI devices are thus formed.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: August 10, 2010
    Assignee: NXP B.V.
    Inventors: Josine Johanna Gerarda Petra Loo, Vincent Charles Venezia, Youri Ponomarev
  • Publication number: 20100197047
    Abstract: At oxygen ion implanting steps in manufacture of a SIMOX wafer, a path is formed inside or on a back surface of wafer holding means, and oxygen ions are implanted while heating an outer peripheral portion of the wafer that is in contact with the wafer holding means by flowing a heated fluid through this path. An in-plane temperature of a wafer held at the time of ion implantation is prevented from becoming uneven, and in-plane film thicknesses of both an SOI layer and a BOX layer are uniformed.
    Type: Application
    Filed: January 28, 2010
    Publication date: August 5, 2010
    Applicant: SUMCO CORPORATION
    Inventor: Bong-Gyun KO
  • Patent number: 7767583
    Abstract: Embodiments of this method improve the results of a chemical mechanical polishing (CMP) process. A surface is implanted with a species, such as, for example, Si, Ge, As, B, P, H, He, Ne, Ar, Kr, Xe, and C. The implant of this species will at least affect dishing, erosion, and polishing rates of the CMP process. The species may be selected in one embodiment to either accelerate or decelerate the CMP process. The dose of the species may be varied over the surface in one particular embodiment.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: August 3, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Deepak Ramappa, Thirumal Thanigaivelan
  • Patent number: 7767538
    Abstract: It is made possible to form a silicon nitride film, an aluminum oxide film and a transition metal high-k insulation film of high quality. A manufacturing method includes: forming an insulation film having at least one kind of bonds selected out of silicon-nitrogen bonds, aluminum-oxygen bonds, transition metal-oxygen-silicon bonds, transition metal-oxygen-aluminum bonds, and transition metal-oxygen bonds on either a film having a semiconductor as a main component or a semiconductor substrate, and irradiating the insulation film with pulse infrared light having a wavelength corresponding to a maximum intensity in a wavelength region depending upon the insulation film and having a wavelength absorbed by the insulation film.
    Type: Grant
    Filed: August 21, 2006
    Date of Patent: August 3, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hirotaka Nishino, Koichi Kato
  • Publication number: 20100163994
    Abstract: In SOI devices, the PN junction of circuit elements, such as substrate diodes, is formed in the substrate material on the basis of the buried insulating material that provides increased etch resistivity during wet chemical cleaning and etch processes. Consequently, undue exposure of the PN junction formed in the vicinity of the sidewalls of the buried insulating material may be avoided, which may cause reliability concerns in conventional SOI devices comprising a silicon dioxide material as the buried insulating layer.
    Type: Application
    Filed: December 16, 2009
    Publication date: July 1, 2010
    Inventors: Andreas Kurz, Roman Boschke, Christoph Schwan, John Morgan
  • Patent number: 7741190
    Abstract: A method of fabricating integrated circuit structures utilizes selective oxygen implantation to dielectrically isolate semiconductor structures using no extra masks. Existing masks are utilized to introduce oxygen into bulk silicon with subsequent thermal oxide growth. Since the method uses bulk silicon, it is cheaper than silicon-on-insulator (SOI) techniques. It also results in bulk-silicon that is latch-up immune.
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: June 22, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Denis Finbarr O'Connell, Ann Margaret Concannon
  • Patent number: 7727831
    Abstract: The leakage current generated due to the extension of the depleted layer to the end of the chip is reduced. In MOSFET 100, the depths of the trenches 112 in the gate pad portion 50 and the circumference portion 70 are larger than the depths of the trenches 111 in the cell region 60. Therefore, the depleted layer extending from the cell region 60 along the direction toward the gate pad portion 50 or the direction toward the circumference portion 70 is blocked by the presence of the trench 112. In other words, an extending of the depleted layer can be terminated by disposing the trench 112, so as to avoid reaching the depleted layer to the end of the semiconductor chip. Accordingly, a leakage current generated from the cell region 60 along the direction toward the end of the semiconductor chip can be reduced.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: June 1, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kinya Ohtani
  • Patent number: 7705427
    Abstract: An integrated circuit includes a bipolar transistor comprising a substrate and a collector formed in the substrate. The collector includes a highly doped lateral zone, a very lightly doped central zone and a lightly doped intermediate zone located between the central zone and the lateral zone 4a of the collector. The substrate includes a lightly doped lateral zone and a highly doped central zone. The dopant species in the zone of the substrate are electrically inactive.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: April 27, 2010
    Assignee: STMicroelectronics SA
    Inventors: Damien Lenoble, Thierry Schwartzmann, Laurence Boissonnet
  • Patent number: 7696059
    Abstract: A consistent reduction in temperature in an SOI substrate manufacturing process is achieved. A gate oxide film provided on an SOI substrate is obtained by laminating a low-temperature thermal oxide film 13 grown at a temperature of 450° C. or below and an oxide film 14 obtained based on a CVD method. Since the thermal oxide film 13 is a thin film of 100 ? or below, a low temperature of 450° C. or below can suffice. The underlying thermal oxide film 13 can suppress a structural defect, e.g., an interface state, and the CVD oxide film 14 formed on the thermal oxide film can be used to adjust a thickness of the gate oxide film. According to such a technique, a conventional general silicon oxide film forming apparatus can be used to form the gate oxide film at a low temperature, thereby achieving a consistent reduction in temperature in the SOI substrate manufacturing process.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: April 13, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shoji Akiyama, Yoshihiro Kubota, Atsuo Ito, Makoto Kawai, Yuuji Tobisaka, Koichi Tanaka
  • Patent number: 7682926
    Abstract: A method of fabricating a semiconductor device includes forming an ion implanted region on a semiconductor substrate in a cell/core region. The semiconductor substrate is selectively etched to form a recess. The recess exposes a boundary of the ion implanted region. The ion implanted region exposed at the bottom of the recess is removed to form an under-cut space in the semiconductor substrate. An insulating film is formed to form a substrate having a silicon-on-insulator (SOI) structure in the cell/core region. The insulating film fills the under-cut space and the recess.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: March 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Su Jang
  • Patent number: 7666721
    Abstract: An improved semiconductor-on-insulator (SOI) substrate is provided, which contains a patterned buried insulator layer at varying depths. Specifically, the SOI substrate has a substantially planar upper surface and comprises: (1) first regions that do not contain any buried insulator, (2) second regions that contain first portions of the patterned buried insulator layer at a first depth (i.e., measured from the planar upper surface of the SOI substrate), and (3) third regions that contain second portions of the patterned buried insulator layer at a second depth, where the first depth is larger than the second depth. One or more field effect transistors (FETs) can be formed in the SOI substrate. For example, the FETs may comprise: channel regions in the first regions of the SOI substrate, source and drain regions in the second regions of the SOI substrate, and source/drain extension regions in the third regions of the SOI substrate.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: February 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Zhijiong Luo, Haining S. Yang
  • Patent number: 7662696
    Abstract: According to the present invention, an oxide film with the film quality almost equivalent to that of the thermal oxide can be formed by the low-temperature treatment. After removing an insulator on the active region of the substrate which constitutes a semiconductor wafer, an insulator made of, for example, silicon oxide is deposited on the main surface of the semiconductor wafer by the low pressure CVD method. This insulator is a film to form a gate insulator of MISFET in a later step. Subsequently, a plasma treatment is performed in an atmosphere containing oxygen (oxygen plasma treatment) to the insulator in the manner as schematically shown by the arrows. By so doing, the film quality of the insulator formed by the CVD method can be improved to the extent almost equivalent to that of the insulator formed of the thermal oxide.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: February 16, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Atsushi Hiraiwa, Satoshi Sakai, Dai Ishikawa, Yoshihiro Ikeda
  • Patent number: 7659178
    Abstract: Semiconductor device structures with reduced junction capacitance and drain induced barrier lowering, methods for fabricating such device structures, and methods for forming a semiconductor-on-insulator substrate. The semiconductor structure comprises a semiconductor layer and a dielectric layer disposed between the semiconductor layer and the substrate. The dielectric layer includes a first dielectric region with a first dielectric constant and a second dielectric region with a second dielectric constant that is greater than the first dielectric constant. In one embodiment, the dielectric constant of the first dielectric region may be less than about 3.9 and the dielectric constant of the second dielectric region may be greater than about ten (10). The semiconductor-on-insulator substrate comprises a semiconductor layer separated from a bulk layer by an insulator layer of a high-dielectric constant material.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Louis Lu-Chen Hsu, Jack Allan Mandelman, Haining Yang
  • Patent number: 7645676
    Abstract: Semiconductor structures and methods for suppressing latch-up in bulk CMOS devices. The semiconductor structure comprises a shaped-modified isolation region that is formed in a trench generally between two doped wells of the substrate in which the bulk CMOS devices are fabricated. The shaped-modified isolation region may comprise a widened dielectric-filled portion of the trench, which may optionally include a nearby damage region, or a narrowed dielectric-filled portion of the trench that partitions a damage region between the two doped wells. Latch-up may also be suppressed by providing a lattice-mismatched layer between the trench base and the dielectric filler in the trench.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: January 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Robert J. Gauthier, David Vaclav Horak, Charles William Koburger, III, Jack Allan Mandelman, William Robert Tonti
  • Patent number: 7632735
    Abstract: A process for manufacturing a silicon-on-insulator substrate comprising a single-crystal silicon substrate in which an oxide layer has been locally buried includes forming a step on the silicon substrate so that a region corresponding to the oxide layer has a greater surface height than other regions; then implanting oxygen ions in the silicon substrate so as to form the oxide layer.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: December 15, 2009
    Assignee: Sumco Corporation
    Inventor: Tetsuya Nakai
  • Patent number: 7615465
    Abstract: A method for fabricating a strained silicon film to a silicon on insulation (SOI) wafer. A layer of oxide is deposited onto a wafer that has a stack structure of a first base substrate, a layer of relaxed film and a second layer of strained film. The SOI wafer has a stack structure of a second base substrate and a layer of oxidized film. The SOI wafer is attached to the wafer and is heated at a first temperature. This causes a silicon dioxide (SiO2) dangling bond to form on the second base substrate of the SOI wafer, transferring the strained film from one wafer to the other.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: November 10, 2009
    Assignee: Intel Corporation
    Inventors: Brian S. Doyle, Brian E. Roberds
  • Patent number: 7611975
    Abstract: An implanter provides two-dimensional scanning of a substrate relative to an implant beam so that the beam draws a raster of scan lines on the substrate. The beam current is measured at turnaround points off the substrate and the current value is used to control the subsequent fast scan speed so as to compensate for the effect of any variation in beam current on dose uniformity in the slow scan direction. The scanning may produce a raster of non-intersecting uniformly spaced parallel scan lines and the spacing between the lines is selected to ensure appropriate dose uniformity.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: November 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Adrian Murrell, Peter Michael Banks, Matthew Peter Dobson, Peter Kindersley, Takao Sakase, Marvin Farley, Shu Satoh, Geoffrey Ryding
  • Patent number: 7601606
    Abstract: The invention provides methods for reducing trap densities at interfaces in a multilayer semiconductor wafer, specifically trap densities between an active layer and an insulating layer under the active layer. The methods comprise exposing wafers to high temperatures in a generally neutral atmosphere that also comprises one or more species that can, or whose ions can, migrate into the wafer down to the interface where reduction of the trap density is desired.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: October 13, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Francois Brunier, Vivien Renauld, Jean Marc Waechter
  • Patent number: 7566629
    Abstract: In an aspect, a method is provided for forming a silicon-on-insulator (SOI) layer. The method includes the steps of (1) providing a silicon substrate; (2) selectively implanting the silicon substrate with oxygen using a low implant energy to form an ultra-thin patterned seed layer; and (3) employing the ultra-thin patterned seed layer to form a patterned SOI layer on the silicon substrate. Numerous other aspects are provided.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: July 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: Roger Allen Booth, Jr., Louis Lu-Chen Hsu, Jack A. Mandelman, William R. Tonti
  • Patent number: 7566601
    Abstract: One aspect of the present subject matter relates to a memory cell, or more specifically, to a one-transistor SOI non-volatile memory cell. In various embodiments, the memory cell includes a substrate, a buried insulator layer formed on the substrate, and a transistor formed on the buried insulator layer. The transistor includes a floating body region that includes a charge trapping material. A memory state of the memory cell is determined by trapped charges or neutralized charges in the charge trapping material. The transistor further includes a first diffusion region and a second diffusion region to provide a channel region in the body region between the first diffusion region and the second diffusion region. The transistor further includes a gate insulator layer formed over the channel region, and a gate formed over the gate insulator layer. Other aspects are provided herein.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: July 28, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7560313
    Abstract: The present invention provides a SOI wafer produced by an ion implantation delamination method wherein a width of a SOI island region in a terrace portion generated in an edge portion of the SOI wafer where a surface of a base wafer is exposed is narrower than 1 mm and a density of pit-shaped defects having a size of 0.19 ?m or more existing in a surface of a SOI layer detected by a LPD inspection is 1 counts/cm2 or less, and also provides a method for producing the SOI wafer. Thereby, there is provided a SOI wafer produced by an ion implantation delamination method wherein generation of SOI islands generated in delamination can be suppressed and a defect density of LPDs existing in a surface of the SOI wafer can be reduced, and a method for producing the same, so that device failure can be reduced.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: July 14, 2009
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Hiroji Aga, Kiyoshi Mitani
  • Patent number: 7541257
    Abstract: A semiconductor device includes: a silicon substrate; and a silicon oxide film disposed on the silicon substrate. The silicon oxide film includes a part, which separates from a surface of the silicon substrate, so that the silicon oxide film provides a three-dimensional construction. By using the three-dimensional construction, an additional function such as a resistor or a capacitor is easily added in the device. Further, a manufacturing method of this three-dimensional construction is simple and has a low cost.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: June 2, 2009
    Assignee: DENSO CORPORATION
    Inventors: Eiji Ishikawa, Takaaki Aoki
  • Patent number: 7531430
    Abstract: The invention relates to a process of treating a structure for electronics or optoelectronics, wherein the structure that has a substrate, a first oxide layer, an intermediate layer, a second oxide layer made of an oxide of a semiconductor material, and a thin semiconductor layer made of the semiconductor material. The process includes a heat treatment of the structure in an inert or reducing atmosphere with a temperature and a duration chosen for inciting an amount of oxygen of the second oxide layer to diffuse through the semiconductor layer so that the thickness of the second oxide layer decreases by a determined value. The invention also relates to a process of manufacturing a structure for electronics or optoelectronics through the use of this type of heat treatment.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: May 12, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Oleg Kononchuk
  • Publication number: 20090072342
    Abstract: A method of fabricating a semiconductor device includes forming an ion implanted region on a semiconductor substrate in a cell/core region. The semiconductor substrate is selectively etched to form a recess. The recess exposes a boundary of the ion implanted region. The ion implanted region exposed at the bottom of the recess is removed to form an under-cut space in the semiconductor substrate. An insulating film is formed to form a substrate having a silicon-on-insulator (SOI) structure in the cell/core region. The insulating film fills the under-cut space and the recess.
    Type: Application
    Filed: December 31, 2007
    Publication date: March 19, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Tae Su Jang
  • Patent number: 7504314
    Abstract: The invention relates generally to a method for fabricating oxygen-implanted semiconductors, and more particularly to a method for fabricating oxygen-implanted silicon-on-insulation (“SOI”) type semiconductors by cutting-up regions into device-sized pieces prior to the SOI-oxidation process. The process sequence to make SOI is modified so that the implant dose may be reduced and relatively long and high temperature annealing process steps may be shortened or eliminated. This simplification may be achieved if, after oxygen implant, the wafer structure is sent to pad formation, and masking and etching. After the etching, annealing or oxidation process steps may be performed to create the SOI wafer.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: March 17, 2009
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III, Larry A. Nesbit
  • Publication number: 20090057811
    Abstract: A SIMOX wafer manufacturing method which is capable of providing etching conditions to prevent surface defects (divots) from being spread. The method includes an oxygen implantation process and a high temperature annealing step for forming a BOX layer, a front surface oxide film etching process to treat a front surface of the wafer at an area in which oxygen is implanted, and a rear surface oxide film etching process to treat a rear surface of the wafer, and oxide film etching conditions in the front and rear oxide film etching processes are controlled differently.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 5, 2009
    Applicant: SUMCO CORPORATION
    Inventors: Yoshio MURAKAMI, Kenji OKITA, Tomoyuki HORA
  • Patent number: 7494901
    Abstract: The invention encompasses a method of forming a semiconductor-on-insulator construction. A substrate is provided. The substrate includes a semiconductor-containing layer over an insulative mass. The insulative mass comprises silicon dioxide. A band of material is formed within the insulative mass. The material comprises one or more of nitrogen argon, fluorine, bromine, chlorine, iodine and germanium.
    Type: Grant
    Filed: April 5, 2002
    Date of Patent: February 24, 2009
    Assignee: Microng Technology, Inc.
    Inventor: Chandra Mouli
  • Patent number: 7494902
    Abstract: A method is disclosed for relaxing strain in a multi-gate device, the method comprising providing a substrate with a strained material, patterning a plurality of fins in the strained material, defining a first region comprising at least one fin, defining a second region comprising at least one fin, providing a diffusion barrier layer on the first region, performing a hydrogen anneal such that the strain in the second region is relaxed.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: February 24, 2009
    Assignee: Interuniversitair Microelektronica Centrum vzw (IMEC)
    Inventors: Malgorzata Jurczak, Rita Rooyackers, Nadine Collaert
  • Publication number: 20090042357
    Abstract: A method of fabricating integrated circuit structures utilizes selective oxygen implantation to dielectrically isolate semiconductor structures using no extra masks. Existing masks are utilized to introduce oxygen into bulk silicon with subsequent thermal oxide growth. Since the method uses bulk silicon, it is cheaper than silicon-on-insulator (SOI) techniques. It also results in bulk-silicon that is latch-up immune.
    Type: Application
    Filed: August 9, 2007
    Publication date: February 12, 2009
    Inventors: Denis Finbarr O'Connell, Ann Margaret Concannon
  • Patent number: 7485541
    Abstract: A method for fabricating a strained silicon film to a silicon on insulation (SOI) wafer. A layer of oxide is deposited onto a wafer that has a stack structure of a first base substrate, a layer of relaxed film=and a second layer of strained film. The SOI wafer has a stack structure of a second base substrate and a layer of oxidized film. The SOI wafer is attached to the wafer and is heated at a first temperature. This causes a silicon dioxide (SiO2) dangling bond to form on the second base substrate of the SOI wafer, transferring the strained film from one wafer to the other.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: February 3, 2009
    Assignee: Intel Corporation
    Inventors: Brian S. Doyle, Brian E. Roberds
  • Patent number: 7482243
    Abstract: The present invention provides a method of forming a thin channel MOSFET having low external resistance. The method comprises forming a dummy gate region atop a substrate; implanting oxide forming dopant through said dummy gate to create a localized oxide region in a portion of the substrate aligned to the dummy gate region that thins a channel region; forming source/drain extension regions abutting said channel region; and replacing the dummy gate with a gate conductor.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: January 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Diane C. Boyd, Bruce B. Doris, Meikei Ieong, Devendra K. Sadana
  • Patent number: 7476594
    Abstract: A method is disclosed for fabricating a silicon nitride regions in silicon carbide. The method includes the steps of implanting a sufficient dose and energy of nitrogen ions into a silicon carbide substrate maintained at a temperature above about 350° C. to produce an as-implanted layer of a silicon nitride composition in the silicon carbide, and annealing the as-implanted layer to form a silicon nitride composition. In some embodiments, the formed region of silicon nitride provides an insulating layer. In some embodiments, the silicon nitride region is buried under a surface layer of silicon carbide. Methods of separating silicon carbide by implantation and lift-off are additionally disclosed.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: January 13, 2009
    Assignee: Cree, Inc.
    Inventor: Alexander V. Suvorov
  • Patent number: 7473614
    Abstract: Embodiments of a silicon-on-insulator (SOI) wafer having an etch stop layer overlying the buried oxide layer, as well as embodiments of a method of making the same, are disclosed. The etch stop layer may comprise silicon nitride, nitrogen-doped silicon dioxide, or silicon oxynitride, as well as some combination of these materials. Other embodiments are described and claimed.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: January 6, 2009
    Assignee: Intel Corporation
    Inventors: Peter G. Tolchinsky, Martin D. Giles, Michael L. McSwiney, Mohamad Shaheen, Irwin Yablok
  • Publication number: 20080318390
    Abstract: A method for fabricating a semiconductor device according to the present invention is a method for fabricating a semiconductor device including a substrate layer including a plurality of first regions each having an active region and a plurality of second regions each being provided between adjacent ones of the first region. The fabrication method includes an isolation insulation film formation step of forming an isolation insulation film in each of the second regions so that a surface of the isolation insulation film becomes at the same height as that of a surface of a gate oxide film covering the active region, a peeling layer formation step of forming a peeling layer by ion-implanting hydrogen into the substrate layer after the isolation insulation film formation step, and a separation step of separating part of the substrate layer along the peeling layer.
    Type: Application
    Filed: August 12, 2008
    Publication date: December 25, 2008
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Yasumori Fukushima, Masao Moriguchi, Yutaka Takafuji
  • Patent number: 7456080
    Abstract: Methods and apparatus for producing a semiconductor on glass (SOG) structure include: subjecting an implantation surface of a donor semiconductor wafer to multiple ion implantation processes to create an exfoliation layer in the donor semiconductor wafer, wherein at least one of: (i) the type of ion, (ii) the dose, and/or (iii) the implantation energy of at least two of the multiple ion implantation processes differ from one another.
    Type: Grant
    Filed: December 19, 2005
    Date of Patent: November 25, 2008
    Assignee: Corning Incorporated
    Inventor: Kishor Purushottam Gadkaree
  • Patent number: 7452785
    Abstract: The invention relates to a method for fabricating a composite structure having heat dissipation properties greater than a bulk single crystal silicon structure having the same dimensions. The structure includes a support substrate, a top layer and an oxide layer between the support substrate and the top layer.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: November 18, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Oleg Kononchuk, Fabrice Letertre, Robert Langer
  • Patent number: 7452758
    Abstract: There is a FinFET device. The device has a silicon substrate, an oxide layer, and a polysilicone gate. The silicon substrate defines a planar body, a medial body, and a fin. The planar body, the medial body, and the fin are integrally connected. The medial body connects the planar body and the fin. The planar body extends generally around the medial body. The fin is situated to extend substantially from a first side of the substrate to an opposing second side of the substrate. The fin is substantially perpendicularly disposed with respect to the planar body. The first oxide layer is situated on the planar body between the planar body and the fin. The oxide layer extends substantially around the medial body. The polysilicone gate is situated on the oxide layer to extend substantially from a third side to an opposing fourth side of the substrate. The gate is situated to extend across the fin proximal to a medial portion of an upper surface of the fin. There is also a process for making a FinFET device.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: November 18, 2008
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Haining S. Yang
  • Publication number: 20080224256
    Abstract: A semiconductor-on-insulator structure includes a buried dielectric layer interposed between a base semiconductor substrate and a surface semiconductor layer. The buried dielectric layer comprises an oxide material that includes a nitrogen gradient that peaks at the interface of the buried dielectric layer with at least one of the base semiconductor substrate and surface semiconductor layer. The interface of the buried dielectric layer with the at least one of the base semiconductor substrate and surface semiconductor layer is abrupt, providing a transition in less than about 5 atomic layer thickness, and having less than about 10 angstroms RMS interfacial roughness.
    Type: Application
    Filed: May 20, 2008
    Publication date: September 18, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony I. Chou, Toshiharu Furukawa, Wilfried Haensch, Zhibin Ren, Dinkar V. Singh, Jeffrey W. Sleight
  • Patent number: 7425495
    Abstract: A method of manufacturing a semiconductor substrate and semiconductor device is disclosed and comprises forming a first monocrystalline semiconductor layer on a semiconductor base material, forming a second monocrystalline semiconductor layer covering the first monocrystalline semiconductor layer, and forming a support hole exposing the semiconductor base. A support layer is formed on the active surface of the semiconductor base material to fill the support hole and covers the second polycrystalline semiconductor layer. A cavity is formed between the second monocrystalline semiconductor layer and the semiconductor base material by selectively etching the first monocrystalline semiconductor layer through the opening surface. A buried insulating layer is formed in the cavity. A planarizing layer is formed on the semiconductor base material and planarized using the second polycrystalline semiconductor layer as an etch stop layer.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: September 16, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Kei Kanemoto
  • Patent number: 7422956
    Abstract: A semiconductor device comprising a substrate having a first crystal orientation is provided. A first insulating layer overlies the substrate and a plurality of silicon layers overlie the first insulating layer. A first silicon layer comprises silicon having a second crystal orientation and a crystal plane. A second silicon layer comprises silicon having the second crystal orientation and a crystal plane that is substantially orthogonal to the crystal plane of the first silicon layer. Because holes have higher mobility in the (110) plane than the (100) plane, while electrons have higher mobility in (100) plane than the (110) plane, semiconductor device performance can be enhanced by the selection of silicon layers with certain crystal plane orientations. In addition, a method of forming a semiconductor device is provided.
    Type: Grant
    Filed: December 8, 2004
    Date of Patent: September 9, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andrew Michael Waite, Jon D. Cheek
  • Patent number: 7413959
    Abstract: A method of planarizing the surface of a semiconductor substrate to reduce the occurrence of a dishing phenomenon. A patterned etch stop layer defining a trench region is formed on a substrate. The substrate is etched to form a trench region, and a medium material layer and an oxide layer are subsequently formed on the substrate, filling the trench region. Chemical mechanical polishing (CMP) is performed on the oxide layer until the medium material layer is exposed. CMP is then performed until the patterned etch stop layer is exposed and a planarized oxide layer is formed. Because the medium material layer has a higher removal rate during CMP than the oxide layer, occurrences of the dishing phenomenon are reduced. A slurry including an anionic surfactant is used to increase the CMP removal ratio of the medium material layer to the oxide layer.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: August 19, 2008
    Assignee: Samsung Electronics Co., L.T.D.
    Inventors: Jae-Dong Lee, Yong-Pil Han, Chang-Ki Hong
  • Patent number: 7378330
    Abstract: A method of forming substrates, e.g., silicon on insulator, silicon on silicon. The method includes providing a donor substrate, e.g., silicon wafer. The method also includes forming a cleave layer on the donor substrate that contains the cleave plane, the plane of eventual separation. In a specific embodiment, the cleave layer comprising silicon germanium. The method also includes forming a device layer (e.g., epitaxial silicon) on the cleave layer. The method also includes introducing particles into the cleave layer to add stress in the cleave layer. The particles within the cleave layer are then redistributed to form a high concentration region of the particles in the vicinity of the cleave plane, where the redistribution of the particles is carried out in a manner substantially free from microbubble or microcavity formation of the particles in the cleave plane. That is, the particles are generally at a low dose, which is defined herein as a lack of microbubble or microcavity formation in the cleave plane.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: May 27, 2008
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Michael A. Bryan, William G. En
  • Patent number: 7361570
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor and an integrated circuit including the same. The semiconductor device 100, among other things, may include a substrate 110 having a lattice structure and having an implanted precipitate region 120 located within the lattice structure. Additionally, the semiconductor device 100 may include a dynamic defect 125 located within the lattice structure and proximate the implanted precipitate region 120, such that the implanted precipitate region 120 affects a position of the dynamic defect 125 within the lattice structure. Located over the substrate 110 in the aforementioned semiconductor device 100 is a gate structure 160.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: April 22, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Kaiping Liu
  • Patent number: 7348253
    Abstract: A method of forming a low-defect, substantially relaxed SiGe-on-insulator substrate material is provided. The method includes first forming a Ge-containing layer on a surface of a first single crystal Si layer which is present atop a barrier layer that is resistant to Ge diffusion. A heating step is then performed at a temperature that approaches the melting point of the final SiGe alloy and retards the formation of stacking fault defects while retaining Ge. The heating step permits interdiffusion of Ge throughout the first single crystal Si layer and the Ge-containing layer thereby forming a substantially relaxed, single crystal SiGe layer atop the barrier layer. Moreover, because the heating step is carried out at a temperature that approaches the melting point of the final SiGe alloy, defects that persist in the single crystal SiGe layer as a result of relaxation are efficiently annihilated therefrom.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: March 25, 2008
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Huajie Chen, Anthony G. Domenicucci, Keith E. Fogel, Richard J. Murphy, Devendra K. Sadana
  • Patent number: 7338857
    Abstract: A phase change material is formed over a dielectric material. An impurity is introduced into the dielectric to improve the adherence of said dielectric to said phase change material.
    Type: Grant
    Filed: October 14, 2004
    Date of Patent: March 4, 2008
    Assignee: Ovonyx, Inc.
    Inventors: Paola Besana, Tina Marangon, Amos Galbiati
  • Patent number: 7323370
    Abstract: An SOI FET comprising a silicon substrate having silicon layer on top of a buried oxide layer having doped regions and an undoped region is disclosed. The doped region has a dielectric constant different from the dielectric constant of the doped regions. A body also in the silicon layer separates the source/drains in the silicon layer. The source/drains are aligned over the doped regions and the body is aligned over the undoped region. A gate dielectric is on top of the body and a gate conductor is on top of the gate dielectric.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: January 29, 2008
    Assignee: International Business Machines Corporation
    Inventor: Toshiharu Furukawa
  • Patent number: 7320925
    Abstract: A method is for commercially producing by the SIMOX technique a perfect partial SOI structure avoiding exposure of a buried oxide film through the surface thereof and forming no step between an SOI region and a non-SOI region. A method for the production of an SOI substrate, includes forming on the surface of a semiconductor substrate made of a silicon single crystal a protective film designated to serve as a mask for ion implantation, forming an opening part of a stated pattern in the protective film, implanting oxygen ions into the surface of the semiconductor substrate in a direction not perpendicular thereto, and heat treating the semiconductor substrate thereby forming a buried oxide film in the semiconductor substrate, and inducing at the step of implanting oxygen ions into the surface of the semiconductor substrate the impartation of at least two angles to be formed between the projection of the flux of implantation of oxygen ions and a specific azimuth of the main body of the substrate.
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: January 22, 2008
    Assignee: Siltronic AG
    Inventors: Tsutomu Sasaki, Seiji Takayama, Atsuki Matsumura