Polysilicon Containing Sidewall Patents (Class 438/446)
  • Patent number: 9023726
    Abstract: A method of fabricating a semiconductor device includes the following steps. At least a first gate stack layer and at least a second gate stack layer protruding from a conductive layer on a substrate are provided. Subsequently, two spacers and a protective layer are formed on the conductive layer, and the two spacers and the protective layer jointly surround the protruded first gate stack layer and the protruded second gate stack layer. The two spacers and the protective layer are used as a mask to remove a part of the conductive layer. Afterwards, the two spacers and the protective layer are removed.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: May 5, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Wei Cheng, Ming Sheng Xu, Duan Quan Liao, Yikun Chen, Ching Hwa Tey
  • Patent number: 8975155
    Abstract: Embodiments of the disclosure include a shallow trench isolation (STI) structure and a method of forming the same. A trench is formed in a substrate. A silicon oxide and a silicon liner layer are formed on sidewalls and a bottom surface of the trench. A flowable silicon oxide material fills in the trench, is cured, and then is partially removed. Another silicon oxide is deposited in the trench to fill the trench. The STI structure in a fabricated device includes a bottom portion having silicon oxide and a top portion having additionally a silicon oxide liner and a silicon liner on the sidewalls.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Yi Chuang, Ta-Hsiang Kung, Hsing-Jui Lee, Ming-Te Chen
  • Patent number: 8921183
    Abstract: A method for fabricating a trench isolation structure is described. A trench is formed in a substrate. A liner layer is formed at least in the trench. A precursor layer is formed at least on the sidewalls of the trench. The precursor layer is converted to an insulating layer that has a larger volume than the precursor layer and fills up the trench.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: December 30, 2014
    Assignee: Nanya Technology Corporation
    Inventors: Jen-Jui Huang, Hung-Ming Tsai
  • Patent number: 8916472
    Abstract: Embodiments described herein provide approaches for interconnect formation in a semiconductor device using a sidewall mask layer. Specifically, a sidewall mask layer is deposited on a hard mask in a merged via region of the semiconductor device following removal of a planarization layer previously formed on the hard mask. The sidewall mask layer is conformally deposited on the hard mask, and acts like a sacrificial layer to protect the hard mask during a subsequent via etch. This reduces the via critical dimension (CD) and reduces the CD elongation along the hard mask line direction during the via etch.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: December 23, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Xiang Hu, Mingmei Wang, Liu Huang
  • Patent number: 8809986
    Abstract: Provided is a semiconductor device capable of reducing a temperature-dependent variation of a current sense ratio and accurately detecting current. In the semiconductor device, at least one of an impurity concentration and a thickness of each semiconductor layer is adjusted such that a value calculated by a following equation is less than a predetermined value: [ ? i = 1 n ? ( R Mi × k Mi ) - ? i = 1 n ? ( R Si × k Si ) ] / ? i = 1 n ? ( R Mi × k Mi ) where a temperature-dependent resistance changing rate of an i-th semiconductor layer (i=1 to n) of the main element domain is RMi; a resistance ratio of the i-th semiconductor layer of the main element domain relative to the entire main element domain is kMi; a temperature-dependent resistance changing rate of the i-th semiconductor layer of the sense element domain is RSi; and a resistance ratio of the i-th semiconductor layer of the sense element domain to the entire sense element domain is kSi.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: August 19, 2014
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Hidefumi Takaya, Kimimori Hamada, Yuji Nishibe
  • Patent number: 8502325
    Abstract: A method forms a metal high dielectric constant (MHK) transistor and includes: providing a MHK stack disposed on a substrate, the MHK stack including a first layer of high dielectric constant material, a second overlying layer, and a third overlying layer, selectively removing only the second and third layers, without removing the first layer, to form an upstanding portion of a MHK gate structure; forming a first sidewall layer on sidewalls of the upstanding portion of the MHK gate structure; forming a second sidewall layer on sidewalls of the first sidewall layer; removing a portion of the first layer to form exposed surfaces; forming an offset spacer layer over the second sidewall layer and over the first layer, and forming in the substrate extensions that underlie the first and second sidewall layers and that extend under a portion but not all of the upstanding portion of the MHK gate structure.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: August 6, 2013
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Jeffrey W. Sleight, Isaac Lauer, Renee T. Mo
  • Patent number: 8409787
    Abstract: A method of forming a pattern in a semiconductor device is described. A substrate divided into cell and peripheral regions is provided, and an object layer is formed on a substrate. A buffer pattern is formed on the object layer in the cell region along a first direction. A spacer is formed along a sidewall of the buffer pattern in the cell region, and a hard mask layer remains on the object layer in the peripheral region. The buffer layer is removed, and the spacer is separated along a second direction different from the first direction, thereby forming a cell hard mask pattern. A peripheral hard mask pattern is formed in the peripheral region. A minute pattern is formed using the cell and peripheral hard mask patterns in the substrate. Therefore, a line width variation or an edge line roughness due to the photolithography process is minimized.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: April 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Choong-Ryul Ryou, Hee-Sung Kang
  • Patent number: 8216907
    Abstract: A method forms a metal high dielectric constant (MHK) transistor and includes: providing a MHK stack disposed on a substrate, the MHK stack including a first layer of high dielectric constant material, a second overlying layer, and a third overlying layer; selectively removing only the second and third layers, without removing the first layer, to form an upstanding portion of a MHK gate structure; forming a first sidewall layer on sidewalls of the upstanding portion of the MHK gate structure; forming a second sidewall layer on sidewalls of the first sidewall layer; removing a portion of the first layer to form exposed surfaces; forming an offset spacer layer over the second sidewall layer and over the first layer, and forming in the substrate extensions that underlie the first and second sidewall layers and that extend under a portion but not all of the upstanding portion of the MHK gate structure.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: July 10, 2012
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Jeffrey W. Sleight, Isaac Lauer, Renee T. Mo
  • Patent number: 8173505
    Abstract: A method includes forming a first layer of gate material over a semiconductor substrate; forming a hard mask layer over the first layer; forming an opening; forming a charge storage layer over the hard mask layer and within the opening; forming a second layer of gate material over the charge storage layer; removing a portion of the second layer and a portion of the charge storage layer which overlie the hard mask layer, wherein a second portion of the second layer remains within the opening; forming a patterned masking layer over the hard mask layer and over the second portion, wherein the patterned masking layer defines both a first and second bitcell; and forming the first and second bitcell using the patterned masking layer, wherein each of the first and second bitcell comprises a select gate made from the first layer and a control gate made from the second layer.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: May 8, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Matthew T. Herrick, Ko-Min Chang, Gowrishankar L. Chindalore, Sung-Taeg Kang
  • Patent number: 8076198
    Abstract: A method of fabricating a nonvolatile memory device with a three-dimensional structure includes alternately stacking first and second material layers in two or more layers on a semiconductor substrate, forming trenches penetrating the stacked first and second material layers by performing a first etching process, and removing the second material layers exposed in the trenches by performing a second etching process. The first and second material layers are formed of materials that have the same main component but have different impurity contents, respectively.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: December 13, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyosan Lee, Boun Yoon, Kuntack Lee, Donghyun Kim, Daehyuk Kang, Imsoo Park, Youngok Kim, Young-Hoo Kim, Sang Won Bae
  • Patent number: 7914973
    Abstract: A method of forming a pattern in a semiconductor device is described. A substrate divided into cell and peripheral regions is provided, and an object layer is formed on a substrate. A buffer pattern is formed on the object layer in the cell region along a first direction. A spacer is formed along a sidewall of the buffer pattern in the cell region, and a hard mask layer remains on the object layer in the peripheral region. The buffer layer is removed, and the spacer is separated along a second direction different from the first direction, thereby forming a cell hard mask pattern. A peripheral hard mask pattern is formed in the peripheral region. A minute pattern is formed using the cell and peripheral hard mask patterns in the substrate. Therefore, a line width variation or an edge line roughness due to the photolithography process is minimized.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: March 29, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Choong-Ryul Ryou, Hee-Sung Kang
  • Patent number: 7855135
    Abstract: A method is disclosed to reduce parasitic capacitance in a metal high dielectric constant (MHK) transistor. The method includes forming a MHK gate stack upon a substrate, the MHK gate stack having a bottom layer of high dielectric constant material, a middle layer of metal, and a top layer of one of amorphous silicon or polycrystalline silicon. The method further forms a depleted sidewall layer on sidewalls of the MHK gate stack so as to overlie the middle layer and the top layer, and not the bottom layer. The depleted sidewall layer is one of amorphous silicon or polycrystalline silicon. The method further forms an offset spacer layer over the depleted sidewall layer and over exposed surfaces of the bottom layer.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: December 21, 2010
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Isaac Lauer, Renee T. Mo, Jeffrey W. Sleight
  • Patent number: 7843007
    Abstract: A method is disclosed to reduce parasitic capacitance in a metal high dielectric constant (MHK) transistor. The method includes forming a MHK gate stack upon a substrate, the MHK gate stack having a bottom layer of high dielectric constant material, a middle layer of metal, and a top layer of one of amorphous silicon or polycrystalline silicon. The method further forms a depleted sidewall layer on sidewalls of the MHK gate stack so as to overlie the middle layer and the top layer, and not the bottom layer. The depleted sidewall layer is one of amorphous silicon or polycrystalline silicon. The method further forms an offset spacer layer over the depleted sidewall layer and over exposed surfaces of the bottom layer.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Isaac Lauer, Renee T. Mo, Jeffrey W. Sleight
  • Patent number: 7816218
    Abstract: A microelectronic device includes a metal gate with a metal gate upper surface. The metal gate is disposed in an interlayer dielectric first layer. The interlayer dielectric first layer also has an upper surface that is coplanar with the metal gate upper surface. A dielectric etch stop layer is disposed on the metal gate upper surface but not on the interlayer dielectric first layer upper surface.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: October 19, 2010
    Assignee: Intel Corporation
    Inventors: Jason Klaus, Sean King, Willy Rachmady
  • Patent number: 7648864
    Abstract: A method (and resultant structure) of forming a semiconductor structure, includes forming a mixed rare earth oxide on silicon. The mixed rare earth oxide is lattice-matched to silicon.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Nestor Alexander Bojarczuk, Jr., Douglas Andrew Buchanan, Supratik Guha, Vijay Narayanan, Lars-Ake Ragnarsson
  • Patent number: 7648924
    Abstract: A method of manufacturing an L-shaped spacer is described. First, a substrate is provided and a protruding structure is formed thereon. Next, a dielectric material is formed on the substrate and covers the stacked structure. Then, the dielectric material on the top of the protruding structure and on portions of the substrate is removed to form an L-shaped spacer.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: January 19, 2010
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Kuo-Liang Wei
  • Patent number: 7622191
    Abstract: A method is presented describing in situ preparation of the titania-based sol-gel PDMS coating and its immobilization on the inner surface of a fused silica microextraction capillary. Sol-gel titania-poly (dimethylsiloxane) (TiO2-PDMS) coating was developed for capillary microextraction (CME) to perform on-line preconcentration and HPLC analysis of trace impurities in aqueous samples. The sol-gel titania-based coatings demonstrated strong pH stability and enhanced extraction capability over other commercially availble GC coatings. Extraction characteristics of a sol-gel titania-PDMS capillary remained practically unchanged after continuous rinsing with a 0.1 M NaOH solution (pH=13) for 12 hours.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: November 24, 2009
    Assignee: University of South Florida
    Inventors: Abdul Malik, Tae-Young Kim
  • Patent number: 7462550
    Abstract: In one embodiment, a trench semiconductor device is formed to have an oxide of a first thickness along the sidewalls of the trench, and to have a greater thickness along at least a portion of a bottom of the trench.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: December 9, 2008
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Gordon M. Grivna
  • Patent number: 7397070
    Abstract: In one embodiment, a transistor is formed to use two conductors to make electrical connection to one of the active regions of the transistor.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: July 8, 2008
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Gordon M. Grivna
  • Patent number: 7329572
    Abstract: A method of forming a polysilicon-insulator-polysilicon (PIP) capacitor includes the steps of forming a lower electrode of a first polysilicon layer over a semiconductor substrate, forming a dielectric layer over the lower electrode, forming a second polysilicon layer over the dielectric layer, patterning the second polysilicon layer, implanting impurities into a side wall of the patterns of the second polysilicon layer and selectively etching the side wall of the patterns of the second polysilicon layer. The impurities are implanted to control an effective line width of the patterns of the second polysilicon layer as an upper electrode.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: February 12, 2008
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Young Wook Shin
  • Patent number: 7300850
    Abstract: In one embodiment, a transistor is formed to use two conductors to make electrical connection to one of the active regions of the transistor.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: November 27, 2007
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Gordon M. Grivna
  • Patent number: 7247569
    Abstract: The present invention comprises a method for forming an ultra-thin channel MOSFET and the ultra-thin channel MOSFET produced therefrom. Specifically, the method comprises providing an SOI substrate having a buried insulating layer underlying an SOI layer; forming a pad stack atop the SOI layer; forming a block mask having a channel via atop the pad stack; providing a localized oxide region in the SOI layer on top of the buried insulating layer thereby thinning a portion of the SOI layer, the localized oxide region being self-aligned with the channel via; forming a gate in the channel via; removing at least the block mask; and forming source/drain extensions in the SOI layer abutting the thinned portion of the SOI layer. Providing the localized oxide region further comprises implanting oxygen dopant through the channel via into a portion of the SOI layer; and annealing the dopant to create the localized oxide region.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: July 24, 2007
    Assignee: International Business Machines Corporation
    Inventors: Diane C. Boyd, Bruce B. Doris, Meikei Ieong, Devendra K. Sadana
  • Patent number: 7179584
    Abstract: An optical exposure method and a device are used for forming patterns on a printed board wiring or semiconductor board. A single exposing region of a surface to be exposed is irradiated with a plurality of optical beams having different irradiating areas and different scanning pitches, such as, a peripheral area is irradiated with an optical beam having a smaller irradiating area and an inner area is irradiated with an optical beam having a larger irradiating area.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: February 20, 2007
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Kazunari Sekigawa, Masatoshi Akagawa
  • Patent number: 7067391
    Abstract: A new method to form metal silicide gates in the fabrication of an integrated circuit device is achieved. The method comprises forming polysilicon lines overlying a substrate with a dielectric layer therebetween. A first isolation layer is formed overlying the substrate and the sidewalls of the polysilicon lines. The first isolation layer does not overlie the top surface of the polysilicon lines. The polysilicon lines are partially etched down such that the top surfaces of the polysilicon lines are below the top surface of the first isolation layer. A metal layer is deposited overlying the polysilicon lines. A thermal anneal is used to completely convert the polysilicon lines to metal silicide gates. The unreacted metal layer is removed to complete the device.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: June 27, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bor-Wen Chan, Chih-Hao Wang, Lawrance Hsu, Hun-Jan Tao
  • Patent number: 6835640
    Abstract: A method of defining composite insulator spacers on the sides of conductive gate structures, with reduced risk of semiconductor damage at end point of the composite insulator spacer definition procedure, has been developed. The method features initial deposition of a thin underlying, silicon rich, undoped silica glass (USG), layer, comprised with a refractive index greater than 1.55. After deposition of a TEOS silicon oxide layer a first phase of an anisotropic RIE procedure, using a CF4/CHF3 etch chemistry, is used to selectively define a silicon oxide spacer component, with the first phase of the etch procedure terminating on the underlying silicon rich, USG layer.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: December 28, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hsiang-Fan Lee, Chi-Hsin Lo
  • Patent number: 6720233
    Abstract: In a method of producing a trench insulation in a silicon substrate a first silicon-oxide layer is deposited on a front surface of a sequence of layers including the silicon substrate. Then the first silicon-oxide layer is structured so as to define a mask for a subsequent production of a trench. A trench is etched with a predetermined depth in the silicon substrate making use of the mask and filled with a silicon oxide. Then a first polysilicon layer is conformally deposited on the first silicon-oxide layer and on the oxide-filled trench. The first polysilicon layer is removed in such a way that a polysilicon cover remains on the oxide-filled trench, and the first silicon-oxide layer is removed.
    Type: Grant
    Filed: August 24, 1998
    Date of Patent: April 13, 2004
    Inventor: Werner Muth
  • Patent number: 6682820
    Abstract: A recession resistant coated ceramic part. The ceramic part has a ceramic substrate and a recession resistant coating disposed on the substrate. The coating includes a plurality of layers diffusion bonded to each other and to the substrate respectively. The top most layer is characterized by a greater resistance to recession due to oxidation than that of the substrate.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: January 27, 2004
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventor: Vimal K. Pujari
  • Patent number: 6661055
    Abstract: The present invention relates to a transistor in a semiconductor device and method of manufacturing the same. According to the present invention, the transistor has an auxiliary electrode to which a voltage is applied apart from a gate electrode and formed at both sides of the gate electrode. In a transistor that is turned on/off depending on a voltage applied to the gate electrode, a region where the gate electrode and the source/drain overlap is maintained to have the same voltage by the auxiliary electrode by always applying a high voltage to the auxiliary electrode upon an on operation of the transistor even when the gate electrode becomes a zero (0) volt upon a refresh operation of a DRAM device. Therefore, the present invention can prevent generation of GIDL current. Further, even though the gate electrode is continuously turned on/off, the auxiliary electrode always maintains the same voltage between the gate electrode and the bit line.
    Type: Grant
    Filed: December 27, 2001
    Date of Patent: December 9, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jai Bum Suh
  • Patent number: 6649488
    Abstract: After a trench is formed into a substrate, a polysilicon layer is formed on sidewalls and a bottom of the trench. A thermal oxidation is performed on the polysilicon layer such that a polysilicon oxide layer is formed thereon. Then, a portion of the polysilicon oxide layer is removed such that the polysilicon layer is exposed on the bottom of the trench while the sidewalls of the trench are still covered by the polysilicon oxide layer. A TEOS-ozone oxide layer is deposited on the substrate to fill the trench. Since the bottom of the trench has a better condition for the deposition of TEOS-ozone oxide layer than that of the sidewalls, a gap fill quality can be enhanced.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: November 18, 2003
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Jae Suk Lee, Dae Heok Kwon
  • Patent number: 6627516
    Abstract: A light receiving device includes a semiconductor substrate, a light absorbing layer provided on the semiconductor substrate, a window layer provided on the light absorbing layer, a wavelength filter provided on the window layer, and a diffusion region provided in the wavelength filter and the window layer. A forbidden bandwidth of the wavelength filter is smaller than a forbidden bandwidth of the window layer, and a forbidden bandwidth of the light absorbing layer is smaller than the forbidden bandwidth of the wavelength filter.
    Type: Grant
    Filed: April 12, 2002
    Date of Patent: September 30, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kenichi Matsuda
  • Patent number: 6613651
    Abstract: A method of forming a narrow isolation structure in a semiconducting substrate. The isolation structure is a trench that has a bottom and sidewalls, and that is to be filled with an isolating material. The isolating material has desired electrical properties and desired chemical properties, and is substantially reactively grown from the semiconducting substrate. A precursor material layer is formed on the bottom of the trench and on the sidewalls of the trench. The precursor material layer has electrical properties and chemical properties that are substantially similar to the desired electrical properties and the desired chemical properties of the isolating material. A substantial portion of the precursor material layer is removed from the bottom of the trench to expose the semiconducting substrate at the bottom of the trench, while leaving a substantial portion of the precursor material layer on the sidewalls of the trench.
    Type: Grant
    Filed: September 5, 2000
    Date of Patent: September 2, 2003
    Assignee: LSI Logic Corporation
    Inventors: Helmut Puchner, Sheldon Aronowitz
  • Publication number: 20030162366
    Abstract: A method of forming a narrow isolation structure in a semiconducting substrate. The isolation structure is a trench that has a bottom and sidewalls, and that is to be filled with an isolating material. The isolating material has desired electrical properties and desired chemical properties, and is substantially reactively grown from the semiconducting substrate. A precursor material layer is formed on the bottom of the trench and on the sidewalls of the trench. The precursor material layer has electrical properties and chemical properties that are substantially similar to the desired electrical properties and the desired chemical properties of the isolating material. A substantial portion of the precursor material layer is removed from the bottom of the trench to expose the semiconducting substrate at the bottom of the trench, while leaving a substantial portion of the precursor material layer on the sidewalls of the trench.
    Type: Application
    Filed: March 6, 2003
    Publication date: August 28, 2003
    Applicant: LSI Logic Corporation
    Inventors: Helmut Puchner, Sheldon Aronowitz
  • Patent number: 6514816
    Abstract: A method of fabricating a self-aligned shallow trench isolation. A mask layer, two deep trenches and two internal electrodes of a capacitor are sequentially formed on a substrate. Two conductive layers are used to completely fill the two deep trenches. Then, two spacers are formed on exposed sides of the two conductive layers, and two doped regions are formed in a portion of the substrate located next to the two conductive layers. A patterned photoresist layer is formed to expose at least the spacers located in between the two deep trenches and the mask layer. The photoresist layer and the spacers are utilized as masks to etch away the exposed mask layer. The photoresist layer is utilized again as a mask to etch the exposed spacers and a portion of the exposed substrate. Sequentially, a remained portion of the photoresist layer and a portion of the conductive layers are removed. A remained mask layer is used as a mask to remove a portion of the exposed substrate, and a trench is thus formed.
    Type: Grant
    Filed: March 1, 2001
    Date of Patent: February 4, 2003
    Assignee: United Microelectronics Corp.
    Inventor: Chiu-Te Lee
  • Patent number: 6482718
    Abstract: A method of manufacturing a semiconductor device is provided which, even if device dimensions decrease, prevents degradation in the operating characteristics of semiconductor elements which are isolated from each other by an element isolation region in a trench isolation structure. Implantation of ions (15) in a polycrystalline silicon layer (3) from above through a silicon nitride film (2) produces an ion-implanted polycrystalline silicon layer (16). Since the ions (15) are an ionic species of element which acts to enhance oxidation, the implantation of the ions (15) changes the polycrystalline silicon layer (3) into the ion-implanted polycrystalline silicon layer (16) having a higher oxidation rate. In subsequent formation of a thermal oxide film (21) on the inner wall of a trench (5), exposed part of the ion-implanted polycrystalline silicon layer (16) is also oxidized, forming relatively wide polycrystalline silicon oxide areas (21a).
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: November 19, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Katsuomi Shiozawa, Takashi Kuroi, Katsuyuki Horita
  • Patent number: 6444539
    Abstract: A semiconductor apparatus and method for producing shallow trench isolation. The method includes the steps providing a semiconductor substrate member fabricated having a thin barrier oxide layer on which are fabricated a plurality of spaced apart silicon nitride pads. The regions between the spaced apart nitride pads delineate U-shaped regions for forming shallow isolation trenches and are layered with silicon oxide and polysilicon. The U-shaped regions provide a buffer region of oxide and polysilicon material adjacent opposing silicon nitride pads that prevent erosion of the nitride during etch formation of the isolation trench. The polysilicon is further etched to form a wider, second U-shaped region having sloped sidewalls that provide opposing spacer-forming buffer material that facilitates forming a V-shaped isolation trench region into the semiconductor substrate member a predetermined depth without eroding the silicon nitride pads.
    Type: Grant
    Filed: February 15, 2001
    Date of Patent: September 3, 2002
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Yu Sun, Angela T. Hui, Yue-Song He, Tatsuya Kajita, Mark Chang, Chi Chang, Hung-Sheng Chen
  • Patent number: 6319743
    Abstract: Semiconductor piezoresistive sensors are formed by a process using selective laser activation of a doped semiconductor surface. The substrate is a flexible membrane such as a diaphragm or bellows. A layer of insulative dielectric material is first applied to the substrate. A layer of highly resistive doped semiconductor material is then deposited on top of the dielectric layer. Through the use of an alignment device one or more piezoresistive sensors are formed by use of laser annealing of selected areas of the semiconductor material such that the annealed areas have a resistance suitable for use as sensors. Metal contacts are then applied over the end portions of sensors and form an electrical connection to the sensors. The non-annealed portions of doped semiconductor layer act as insulators between the formed piezoresistive sensors.
    Type: Grant
    Filed: April 14, 1999
    Date of Patent: November 20, 2001
    Assignee: Mykrolis Corporation
    Inventors: Robert B. Marchant, Majid Fazeli
  • Patent number: 6306726
    Abstract: In one aspect, the invention encompasses a LOCOS process. A pad oxide layer is provided over a silicon-comprising substrate. A silicon nitride layer is provided over the pad oxide layer and patterned with the pad oxide layer to form masking blocks. The patterning exposes portions of the silicon-comprising substrate between the masking blocks. The masking blocks comprise sidewalls. Polysilicon is formed along the sidewalls of the masking blocks. Subsequently, the silicon-comprising substrate and polysilicon are oxidized to form field oxide regions proximate the masking blocks. In another aspect, the invention encompasses a semiconductive material structure. Such structure includes a semiconductive material substrate and at least one composite block over the semiconductive material substrate. The composite block comprises a layer of silicon dioxide and a layer of silicon nitride over the layer of silicon dioxide. The silicon nitride and silicon dioxide have coextensive opposing sidewalls.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: October 23, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Siang Ping Kwok
  • Patent number: 6255188
    Abstract: A method of removing a polysilicon buffer in a method of forming a field oxide and an active area is disclosed herein that comprises the step of applying an etching selectivity solution to the polysilicon buffer to substantially remove the polysilicon buffer without substantially affecting the field oxide, a pad oxide, and the substrate. An etching selectivity solution is defined herein is a solution that has an etching rate for one material that is higher than for another material. In this case, the etching selectivity solution has an etching rate for polysilicon material that is higher than its etching rate for field oxide material. Accordingly, when the etching selectivity solution is applied to the polysilicon buffer, it will substantially etch off the polysilicon buffer without substantially affecting the field oxide. In the preferred embodiment, the etching selectivity solution comprises a mixture of HF and HNO3, or HF, HNO3 and CH3COOH.
    Type: Grant
    Filed: March 8, 1999
    Date of Patent: July 3, 2001
    Assignee: Mosel Vitelic, Inc.
    Inventors: Chien-Hung Chen, Leon Chang, Wei-Shang King
  • Patent number: 6171930
    Abstract: The present invention relates to a device isolation structure and a device solation method in a semiconductor power IC. The device isolation structure according to the present invention includes: a semiconductor substrate including a high voltage region and a low voltage region; a trench overlapping the high voltage device region of the semiconductor substrate and an interfacing region formed between the high voltage device region and the low voltage device region; a fourth insulating film, a fifth insulating film, and a conductive film sequentially layered in the trench; a first insulating film pattern formed on the semiconductor substrate including the trench; and field insulating films respectively formed on the trench and on a portion of an upper surface of the semiconductor substrate which is exposed out of the first insulating film pattern.
    Type: Grant
    Filed: January 20, 1999
    Date of Patent: January 9, 2001
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Chang-Jae Lee, Jae-Il Ju
  • Patent number: 6153482
    Abstract: A method for fabricating LOCOS isolation having a planar surface. The method utilizes a polysilicon spacer to prevent bird beak. The method adds the steps of forming a polishing stop layer and removing said edge-protrusion portion of the local oxide by chemical mechanical polishing.
    Type: Grant
    Filed: October 16, 1998
    Date of Patent: November 28, 2000
    Assignee: Nanya Technology Corp.
    Inventors: Lin-Chin Su, Tzu-Ching Tsai, Miin-Jiunn Jiang, Hung-Chang Liao, Jim Wang, Chung Min Lin
  • Patent number: 6133113
    Abstract: A method of manufacturing shallow trench isolation comprising the steps of forming a pad oxide layer and a mask layer over a substrate, then patterning the pad oxide layer and the mask layer forming an opening in the substrate. Thereafter, insulating material is deposited into the opening forming an insulating layer, and then the mask layer is removed to expose the pad oxide layer. Next, insulating spacers are formed on the sidewalls of the insulating layer. Subsequently, the insulating spacers and the pad oxide layer are removed to complete the formation of shallow trench isolation. Hence, a trench-filled insulating layer having a smooth upper surface is formed. By forming insulating spacers over the junction area between the substrate and the insulating layer, over-etching of the junction is avoided.
    Type: Grant
    Filed: June 16, 1998
    Date of Patent: October 17, 2000
    Assignee: United Microelectronics Corp.
    Inventors: J.S. Jason Jenq, Jau-Huang Ho
  • Patent number: 6121097
    Abstract: A polysilicon film is deposited in a trench formed in a silicon element substrate. The polysilicon film in the trench and on the silicon element substrate is anisotropically etched, so that the film remains on the side wall of the trench. The polysilicon film on the side wall is oxidized to obtain an insulating film, which buries the trench. At the same time, an oxidized film is formed on the surface of the silicon element substrate to complete a trench-mold separation area.
    Type: Grant
    Filed: May 5, 1998
    Date of Patent: September 19, 2000
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Yuichi Urano, Masato Nishizawa, Yoshiyuki Sakai, Naoki Ito, Shinichi Hashimoto
  • Patent number: 6114218
    Abstract: A method of forming field oxide during the manufacture of a semiconductor device comprises the steps of providing a semiconductor wafer having a plurality of recesses or trenches therein. A layer of texturized polycrystalline silicon is formed within the recesses, which is subsequently oxidized to form field oxide. The instant method reduces stress imparted to the die as the texturized polycrystalline silicon has voids or holes which absorb the expanding volume as the silicon is oxidized to form field oxide.
    Type: Grant
    Filed: May 18, 1998
    Date of Patent: September 5, 2000
    Assignee: Microm Technology, Inc.
    Inventor: Nanseng Jeng
  • Patent number: 6093622
    Abstract: An isolation method in the fabrication process of a semiconductor device is provided. The method forms an oxide layer as a buffer layer for reducing stress through chemical vapor deposition (CVD). By the method, a first pad oxide layer and a silicon nitride layer are formed on a semiconductor substrate, and then an silicon nitride layer pattern is formed by patterning, and undercuts are formed in the first pad oxide layer pattern. Subsequently, a second pad oxide layer is formed on the entire surface of the semiconductor substrate through CVD, and then spacers are formed on the sidewalls of both the patterned first pad oxide layer and silicon nitride layer and a field oxide layer is formed through thermal oxidation. Alternatively, a silicon layer is deposited without the spacers to form the field oxide layer. The second pad oxide layer is a buffer layer for buffering stress during formation of the field oxide layer.
    Type: Grant
    Filed: September 4, 1998
    Date of Patent: July 25, 2000
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Dong-ho Ahn, Sung-eui Kim, Yu-gyun Shin
  • Patent number: 6074939
    Abstract: It is an object of the invention to improve reliability of wiring layers in a semiconductor device comprising an intermetal insulator layer composed of a polysilazane SOG layer. A method for fabricating semiconductor device comprises the steps of forming a wiring layer provided with a oxidization-resisting metallic layer covering its outer surface on an underlying insulator layer, which is formed on a semiconductor substrate, forming a side wall insulator layer with penetration-resisting property against oxidizing gas around a wiring layer and the oxidization-resisting metallic layer, applying spin-on glass (SOG)-producing materiel composed of silicon compound materiel, which comprises silazane bonding in its back bone, thereon, and sintering the SOG-producing material in oxidization gas atmosphere.
    Type: Grant
    Filed: February 26, 1998
    Date of Patent: June 13, 2000
    Assignee: NEC Corporation
    Inventor: Susumu Watanabe
  • Patent number: 6074932
    Abstract: The method for forming a trench isolation includes the steps as follows. At first, a first pad layer is formed over the semiconductor substrate and a stacked layer is formed over the first pad layer. An opening is then defined in the first pad layer and the stacked layer. A portion of the first pad layer is removed to have an undercut region under the stacked layer. A second pad layer is formed on an exposed portion of the semiconductor substrate under the opening and the undercut region. Then a buffer layer within the undercut region and a sidewall structure on the stacked layer are formed. A portion of the second pad layer uncovered by the sidewall structure is removed. A portion of the semiconductor substrate uncovered by the stacked layer and the second pad layer is then removed to form a trench. A first insulator layer is formed over the trench and within the undercut region. Thus a trench structure with a first insulator layer can be formed.
    Type: Grant
    Filed: January 28, 1998
    Date of Patent: June 13, 2000
    Assignee: Texas Instruments - Acer Incorporated
    Inventor: Shye-Lin Wu
  • Patent number: 5963820
    Abstract: A method for forming a semiconductor device comprises the steps of forming an oxide over a silicon layer, forming a blanket first nitride layer over the oxide layer and the silicon layer, and etching the first nitride layer and the oxide layer to form a sidewall from at least the oxide layer and the first nitride layer. Next, a second nitride layer is formed over the sidewall and an oxidizable layer is formed over the second nitride layer. The oxidizable and the second nitride layers are etched to form a spacer from the oxidizable layer from and the second nitride layer, and the oxidizable and the silicon layers are oxidized.
    Type: Grant
    Filed: March 17, 1997
    Date of Patent: October 5, 1999
    Assignee: Micron Technology, Inc.
    Inventor: Nanseng Jeng
  • Patent number: 5956600
    Abstract: An element isolation region is formed in a silicon substrate by initially depositing an insulating film and first nitride film thereon, forming an opening therethrough exposing the substrate, and etching the substrate to form a groove. A polysilicon film and second nitride film are successively deposited, and the second nitride film is anisotropically etched to expose the polysilicon film at the bottom of the groove. The silicon substrate is then thermally oxidized using the first and second nitride films as a mask to form the element isolation region. In other embodiments, an oxide film is formed at the bottom of the groove prior or subsequent to deposition of the polysilicon film.
    Type: Grant
    Filed: January 31, 1997
    Date of Patent: September 21, 1999
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takashi Kuroi, Maiko Kobayashi
  • Patent number: 5866467
    Abstract: A silicon substrate has patterned thereon a pad oxide layer and a nitride layer. The exposed surface of the silicon substrate is cleaned of residual oxide, and a layer of oxidizable material such as polysilicon is deposit over the resulting structure. The polysilicon layer is anisotropically etched to form spacers on the side of the nitride layer portions, which are also in contact with the silicon substrate, the etching continuing into the silicon substrate. Field oxidation is then undertaken, with the polysilicon spacers being oxidized, as is a portion of the silicon substrate, the spacers causing initial oxidation during field oxide growth to be removed from the sides of the nitride layer portions, so that encroachment of the oxide under the nitride layer portions is avoided.
    Type: Grant
    Filed: July 1, 1997
    Date of Patent: February 2, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hsingya Arthur Wang, Jein-Chen Young, Nicholas H. Tripsas
  • Patent number: 5837596
    Abstract: A process for forming field oxide on a semiconductor substrate having reduced field oxide thinning comprises forming of an oxide layer over a semiconductor substrate, and forming a protective layer over the oxide layer. A mask is formed over the protective layer thereby forming exposed and covered regions of the protective layer. The exposed portions of the protective layer are removed to form at least first, second, and third disconnected protective structures, wherein the distance between the first and second protective structures is smaller than the distance between the second and third protective structures. The oxide layer and a portion of the substrate between the protective structures is removed to expose a portion of the substrate. A blanket polycrystalline silicon (poly) layer is formed over the substrate, and the poly layer is isotropically etched to remove the poly from between the second and third protective structures and leave a portion of the poly between the first and second structures.
    Type: Grant
    Filed: March 20, 1995
    Date of Patent: November 17, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Thomas A. Figura, Nanseng Jeng