Providing Nondopant Ion (e.g., Proton, Etc.) Patents (Class 438/520)
  • Patent number: 6645838
    Abstract: A process for activating a doped region (80) or amorphized doped region (34) in a semiconductor substrate (10). The process includes the steps of doping a region of the semiconductor substrate, wherein the region is crystalline or previously amorphized. The next step is forming a conformal layer (40) atop the upper surface (11) of the substrate. The next step is performing at least one of front-side and backside irradiation of the substrate to activate the doped region. The activation may be achieved by heating the doped region to just below the melting point of the doped region, or by melting the doped region but not the crystalline substrate. An alternative process includes the additional step of forming the doped region (amorphized or unamorphized) within or adjacent a deep dopant region (60) and providing sufficient heat to the deep dopant region through at least one of front-side and backside irradiation so that the doped region is activated through explosive recrystallization.
    Type: Grant
    Filed: April 11, 2002
    Date of Patent: November 11, 2003
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Yun Wang, Michael O. Thompson
  • Patent number: 6607992
    Abstract: An antireflection coating has two-layer structure including lower and upper silicon nitride films (p-SiN films) formed by plasma CVD. For the lower p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.9 nor more than 2.5, the imaginary part is set in the range of not less than 0.9 nor more than 1.7, and the film thickness is set in the range of not less than 20 nm nor more than 60 nm. For the upper p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.7 nor more than 2.4, the imaginary part is set in the range of not less than 0.15 nor more than 0.75, and the film thickness is set in the range of not less than 10 nm nor more than 40 nm.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: August 19, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kouichirou Tsujita, Atsumi Yamaguchi, Junjiro Sakai, Kouji Oda, Koichiro Narimatsu
  • Publication number: 20030153137
    Abstract: This invention concerns a process of forming a polarizable layer in a buried oxide layer of a silicon-on-insulator substrate for the fabrication of non-volatile memory. This process comprises implanting, through the active silicon layer, Si ions into the buried oxide layer at an ion implantation energy selected so that the implanted ion has its peak concentration between 5-50 nm from the silicon/buried oxide interface. The implantation step can occur while externally heating the silicon-on-insulator substrate at a temperature between 25-300 degrees Celsius. After implantation, an annealing step may be completed to repair any damage the implantation may have created in the silicon-on-insulator substrate.
    Type: Application
    Filed: March 5, 2003
    Publication date: August 14, 2003
    Inventors: Harold L. Hughes, Patrick J. McMarr, Reed K. Lawrence
  • Publication number: 20030153168
    Abstract: Provided is an excellent p-type nitride type 3-5 group compound semiconductor having escellent electrical properties such as a low contact resistance to an electrode metal, a low ohmic property, etc., by heat-treating a nitride type 3-5 group compound semiconductor doped with p-type dopant in an hydrogen-containing gas atmosphere of a specific concentration.
    Type: Application
    Filed: January 29, 2003
    Publication date: August 14, 2003
    Inventors: Yoshihiko Tsuchida, Yoshinobu Ono
  • Patent number: 6593205
    Abstract: A method of fabricating a silicon-on-insulator (SOI) substrate including at least one patterned buried oxide region having well defined edges is provided. The method includes a step of implanting first ions into a surface of a Si-containing substrate so as to form an implant region of the first ions in the Si-containing substrate. Following the first implant step, a selective implant process is employed wherein second ions that are insoluble in SiO2 are incorporated into portions of the Si-containing substrate. The second ions employed in the selective implant step are capable of preventing the implant region of first ions from forming an oxide region during a subsequent annealing step. An annealing step is then performed which causes formation of a buried oxide region in the implant region of first ions that does not include the second ions.
    Type: Grant
    Filed: February 21, 2002
    Date of Patent: July 15, 2003
    Assignee: International Business Machines Corporation
    Inventors: Tze-chiang Chen, Devendra K. Sadana
  • Publication number: 20030124823
    Abstract: The present invention provides a method for forming a transistor junction in a semiconductor wafer by implanting a dopant material (116) into the semiconductor wafer, implanting a halo material (110) into the semiconductor wafer (102), selecting a fluorine dose and energy to tailor one or more characteristics of the transistor, implanting fluorine into the semiconductor wafer at the selected dose and energy, activating the dopant material using a thermal process and annealing the semiconductor wafer to remove residual fluorine. The one or more characteristics of the transistor may include halo segregation, halo diffusion, the sharpness of the halo profile, dopant activation, dopant profile sharpness, drive current, bottom wall capacitance or near edge capacitance.
    Type: Application
    Filed: August 12, 2002
    Publication date: July 3, 2003
    Inventors: Amitabh Jain, Kaiping Liu, Zhiqiang Wu
  • Patent number: 6579781
    Abstract: A method of manufacturing a semiconductor device that eliminates the n+ contact implant by using double diffused implants under the core cell contacts by forming core, n-channel and p-channel transistors in a semiconductor substrate, simultaneously forming source and drain DDI implants for the core transistors, forming source and drain Mdd implants for the core transistors, forming source and drain Pldd implants for the p-channel transistors, forming source and drain Nldd implants for the n-channel transistors, forming sidewall spacers on the core, n-channel and p-channel transistors, forming N+ implants for the n-channel transistors, forming P+ implants for the p-channel transistors and forming P+ contact implants for the p-channel transistors.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: June 17, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Darlene G. Hamilton, Len Toyoshiba
  • Publication number: 20030064535
    Abstract: A method for manufacturing an electronic device utilizing a thin GaN material is provided in which a GaN layer is epitaxially grown on a transfer substrate. A hydrogen ion implant layer is formed in the GaN layer. A handle substrate having desirable thermal or electrical conductivity is bonded to the transfer substrate having the GaN layer grown thereon. The joined structure is heated to split off the transfer substrate along the hydrogen ion implant layer, thereby resulting in an optimized substrate with GaN layer transferred thereto.
    Type: Application
    Filed: September 28, 2001
    Publication date: April 3, 2003
    Inventors: Francis J. Kub, Karl D. Hobart
  • Patent number: 6524928
    Abstract: A semiconductor and a method of manufacturing thereof form a region with a sufficient gettering effect. A p-type channel MOSFET and an n-type channel MOSFET are formed in an n-type semiconductor layer, which is isolated in a form of islands on an SOI substrate. A high-concentration impurity diffused region is formed in such a manner as to surround the p-type channel MOSFET and the n-type channel MOSFET. The high-concentration impurity diffused region has a surface concentration of between 1×1018 atoms/cm−3 and 5×1020 atoms/cm−3 for achieving a desired gettering effect.
    Type: Grant
    Filed: March 6, 2000
    Date of Patent: February 25, 2003
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Atsuo Hirabayashi
  • Publication number: 20030027381
    Abstract: A SOI substrate is preamorphized by ion implanting Xe prior to forming source/drain extensions and source/drain regions, thereby virtually eliminating or significantly reducing floating body effects. Other aspects comprise ion implanting a Xe2+ into a bulk silicon or SOI substrate to effect preeamorphization prior to forming source/drain extensions and regions having shallow junctions with reduced vertical and lateral straggle.
    Type: Application
    Filed: August 1, 2001
    Publication date: February 6, 2003
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Matthew Stephen Buynoski, Che-Hoo Ng
  • Patent number: 6498085
    Abstract: The claimed invention relates to a semiconductor device and a method of fabricating the semiconductor device. More particularly, the claimed invention relates to a method of fabricating the semiconductor device in which parts of a gate electrode at the ends of a channel are lightly doped compared to the center part of the gate electrode, thereby eliminating a hump on a subthreshold current slope. To achieve the objects of the claimed invention, there is provided a semiconductor device that includes a semiconductor substrate divided into an isolation region and an active region. A gate oxide film is formed on a first upper surface of the active region. A gate electrode is formed on a second upper surface of the gate oxide film, the gate electrode having a first part and a second part. The first part is more lightly doped with impurities than the second part. A channel is formed in an upper end of the active region proximate the gate electrode.
    Type: Grant
    Filed: December 1, 2000
    Date of Patent: December 24, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jong-Wan Jung, Jeong Seok Nam
  • Patent number: 6495474
    Abstract: A method of fabricating a semiconductor device having a gate dielectric layer. The method includes the step of ion implanting at least one of Zr, Hf, La, Y, Al, Ti and Ta into the gate dielectric layer at low implant energy level to increase the dielectric constant of the dielectric layer. Subsequently, the implanted gate dielectric layer is annealed.
    Type: Grant
    Filed: September 11, 2000
    Date of Patent: December 17, 2002
    Assignee: Agere Systems Inc.
    Inventors: Conor Stefan Rafferty, Glen David Wilk
  • Publication number: 20020187614
    Abstract: Methods and apparatus are provided for forming ultrashallow junctions in semiconductor wafers. The method includes the step of introducing into a shallow surface layer of a semiconductor wafer a dopant material that is selected to form charge carrier complexes, such as exciton complexes, which produce at least two charge carriers per complex. The semiconductor wafer containing the dopant material may be processed, such as by thermal processing, to form the charge carrier complexes. The charge carrier complexes are interstitial and therefore are not subject to the limitations imposed by the electrical solubility limits resulting from incorporation into substitutional sites. Thus, low sheet resistance can be obtained.
    Type: Application
    Filed: April 16, 2001
    Publication date: December 12, 2002
    Inventor: Daniel F. Downey
  • Patent number: 6482681
    Abstract: An IGBT is formed in a thin (less than 250 microns thick) float zone silicon wafer using a hydrogen implant to form an N+ buffer layer at the bottom of the wafer. A weak anode is formed on the bottom of the wafer. A single hydrogen implant, or a plurality of hydrogen implants of progressively shallower depth and increasing dose can be used to form the implant in a diffused float zone wafer. The process may also be used to form an N+ contact region in silicon to permit a good ohmic contact to the silicon for any type device.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: November 19, 2002
    Assignee: International Rectifier Corporation
    Inventors: Richard Francis, Chiu Ng
  • Patent number: 6482737
    Abstract: In a method of fabricating a semiconductor device in which a metal film is formed that is to serve as the diffusion barrier layer material of a plug electrode material that is used when forming a plug electrode on a diffusion layer electrode or a gate electrode in which a metal silicide layer has been formed, increase in the resistance of the plug electrode is prevented. Immediately after the formation of a plug hole by a dry etching method, silicon ions are implanted with an acceleration voltage of at least 20 KeV and at a dosage of at least 1×1013 atoms/cm2, following which a titanium film and a titanium nitride film are formed as the metal film by a sputtering method without carrying out etching by an RF etching method.
    Type: Grant
    Filed: April 19, 2001
    Date of Patent: November 19, 2002
    Assignee: NEC Corporation
    Inventor: Nobuaki Hamanaka
  • Patent number: 6458430
    Abstract: A method for use with a plasma immersion ion implantations systems wherein a substrate W having a patterned photoresist P thereon is implanted. The method includes ionizing a first gas in a chamber 12 to produce electrically inactive ions and reacting the electrically active ions with the photoresist P to produce outgassing 64. The outgassed material 64 is continuously evacuated until outgassing is substantially completed. The method further includes ionizing a second gas to produce electrically active ions and implanting a positively charged species of the electrically active ions into the substrate. Also disclosed is a method for curing the photoresist prior to ion implantation. A gas is ionized in the chamber 12 to produce positively and electrons. The electrons are first attracted to a substrate in the chamber having patterned photoresist P thereon for hardening the photoresist. The positively charged ions are then implanted into substrate W wherein photoresist outgassing is substantially prevented.
    Type: Grant
    Filed: December 22, 1999
    Date of Patent: October 1, 2002
    Assignee: Axcelis Technologies, Inc.
    Inventors: James D. Bernstein, Peter L. Kellerman, Alec S. Denholm
  • Patent number: 6440807
    Abstract: The present invention provides a method of formed a nitrided surface layer atop a polysilicon gate electrode that inhibits the growth of an epi silicon layer thereon. Specifically, the method of the present invention includes the steps of: forming a polysilicon layer atop a gate dielectric layer, forming a nitrided surface layer on the polysilicon layer; selectively removing portions of the nitrided surface layer and the polysilicon layer stopping on the gate dielectric layer, while leaving a patterned stack of the nitrided surface layer and the polysilicon layer on the gate dielectric layer; forming sidewall spacers on at least exposed vertical sidewalls of polysilicon layer; removing portions of the gate dielectric layer not protected by the sidewall spacers; and growing an epi silicon layer on exposed horizontal surfaces of an underlying semiconductor substrate.
    Type: Grant
    Filed: June 15, 2001
    Date of Patent: August 27, 2002
    Assignee: International Business Machines Corporation
    Inventors: Atul C. Ajmera, Dominic J. Schepis, Michael D. Steigerwalt
  • Patent number: 6437406
    Abstract: A semiconductor substrate has at least one PN junction with dopant atoms at the junction. A non-dopant at the junction provides interstitial traps to prevent diffusion during annealing. In a process for making this, a non-dopant diffusion barrier, e.g., C, N, Si, F, etc., is implanted into the “halo” region of a semiconductor device, e.g. diode, bipolar transistor, or CMOSFET. This combined with a lower annealing budget (“Spike Annealing”) allows a steeper halo dopant profile to be generated. The invention is especially useful in CMOSFETs with gate lengths less than about 50 nm.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: August 20, 2002
    Assignee: International Business Machines Corporation
    Inventor: Kam-Leung Lee
  • Patent number: 6432788
    Abstract: The present invention comprises methods for producing semiconductor devices useful in high temperature applications. The invention is based on using silicon ion implantation to convert a portion of the p-type base layer of magnesium-doped GaN into n-type GaN. The boundary of the n-type GaN within the p-type layer then becomes an n-p diode junction which can function as the emitter-base junction. The present methods utilize ion implantation to convert a portion of the p-type layer to n-type thereby forming an n-p junction having desirable diode characteristics. The invention also includes BJT and HBT devices incorporating the present implanted n-p diode junctions.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: August 13, 2002
    Assignee: Implant Sciences Corporation
    Inventors: H. Paul Maruska, Stephen N. Bunker
  • Patent number: 6429091
    Abstract: A patterned buried insulator is formed beneath the source and drain by forming a mask over the body area and implanting a dose of n or p type ions in the areas where the source and drains will be formed, then etching the STI and etching out the implanted area. A light oxidation is followed by a conformal oxide deposition in the STI and also in the etched area, thereby forming the buried oxide only where desired.
    Type: Grant
    Filed: December 8, 2000
    Date of Patent: August 6, 2002
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Bomy A. Chen, Alexander Hirsch, Sundar K. Iyer, Nivo Rovedo, Hsing-Jen Wann, Ying Zhang
  • Patent number: 6417082
    Abstract: A process for making a semiconductor structure comprises implanting nitrogen through a layer comprising SiO2 into a substrate comprising Si, wherein the layer is on the substrate, and wherein the layer is from about 30 Å to about 300 Å thick.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: July 9, 2002
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Yider Wu, Jean Yang, Hidehiko Shiraiwa, Mark E. Ramsbey
  • Patent number: 6410991
    Abstract: A relatively thick gate oxide film and a relatively thin gate oxide film are formed on a surface of silicon substrate. In a region exactly under the relatively thick gate oxide film, a halogen is added only within a depth range of no more than 2 nm from the main surface of silicon substrate. Thus, a semiconductor device having a dual gate oxide and a method of manufacturing the same can be obtained capable of reducing damage to the substrate through a simplified process.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: June 25, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Kawai, Kazumasa Yonekura
  • Publication number: 20020063294
    Abstract: A FET with reduced reverse short channel effects is described, as well as a method to make said FET. Germanium is implanted throughout a semiconductor substrate at an intensity and dose such that a peak ion concentration is created below the source and drain of the FET. The germanium can be implanted prior to gate and source and drain formation, and reduces the reverse short channel effect normally seen in FETs. The short channel effect normally occurring in FETs is not negatively impacted by the germanium implant.
    Type: Application
    Filed: November 12, 2001
    Publication date: May 30, 2002
    Applicant: INTERNATIONAL BUSINESS MACHINES
    Inventors: Jeffrey Scott Brown, Stephen Scott Furkay, Robert J. Gauthier, Dale Warner Martin, James Albert Slinkman
  • Patent number: 6387788
    Abstract: The present invention provides a method for fabricating an improved gate electrode of a MOSFET device. And the method for fabricating a MOSFET device having a polycide gate to which a titanium silicide is applied comprises the steps of sequentially forming a polysilicon layer on a gate insulating layer and a titanium layer in this order, forming a capping layer on the titanium layer and forming a titanium silicide layer by performing a rapid thermal process in nitrogen atmosphere.
    Type: Grant
    Filed: June 29, 1999
    Date of Patent: May 14, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Se Aug Jang, In Seok Yeo
  • Patent number: 6362077
    Abstract: A structure comprising a thin layer (2) that can be integral with a support (3), the thin layer being a layer of a semiconductor material made insulating by ion implantation except for at least one zone that permits a vertical electrical connection through the entire thickness of the thin layer (2). A method of manufacturing such a structure is also disclosed.
    Type: Grant
    Filed: October 6, 1999
    Date of Patent: March 26, 2002
    Assignee: Commissariat a l'Atomique
    Inventors: Bernard Aspar, Michel Bruel, Eric Jalaguier
  • Patent number: 6346459
    Abstract: The method of the invention causes fracture of a semiconductor layer containing semiconductor devices from a support layer and requires no masking of the semiconductor device features during an implantation action. The method initially implants protons throughout an entirety of the semiconductor layer at an energy level that enables the protons to reach a depth that defines a delamination region. The implanting creating defects in the semiconductor devices and charge accumulation in dielectric portions (if any). Next a heat treating step causes a delamination of the semiconductor layer from the support layer that lies beneath the delamination region. Then the semiconductor layer is annealed at a temperature that exceeds a thermal stability temperature of the defects to cause a healing thereof.
    Type: Grant
    Filed: February 2, 2000
    Date of Patent: February 12, 2002
    Assignee: Silicon Wafer Technologies, Inc.
    Inventors: Alexander Y Usenko, William N. Carr
  • Patent number: 6346488
    Abstract: A film of low k dielectric material formed on a semiconductor substrate is treated to inhibit cracking of the film of low k dielectric material during subsequent exposure of the film of low k dielectric material to elevated temperatures by implanting the film of low k dielectric material with hydrogen ions by applying a negative DC bias to the semiconductor substrate in the presence of a plasma of hydrogen ions. The semiconductor substrate is mounted on an electrically conductive substrate support in a reactor and the negative DC bias is applied to the semiconductor substrate by connecting the electrically conductive substrate support to a source of negative DC bias while hydrogen ions are generated by the plasma in the reactor to thereby cause the hydrogen ions to implant into the film of low k dielectric material on the semiconductor substrate.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: February 12, 2002
    Assignee: LSI Logic Corporation
    Inventor: Alex Kabansky
  • Patent number: 6342438
    Abstract: A dual doped CMOS gate structure utilizes a nitrogen implant to suppress dopant inter-diffusion. The nitrogen implant is provided above standard trench isolation structures. Alternatively, an oxygen implant can be utilized. The use of the implant allows an increase in packing density for ultra-large-scale integrated (ULSI) circuits. The doping for N-channel and P-channel active regions can be completed when the polysilicon gate structures are doped.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: January 29, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Ming-Ren Lin
  • Publication number: 20010055860
    Abstract: Methods of forming contact openings, memory circuitry, and dynamic random access memory (DRAM) circuitry are described. In one implementation, an array of word lines and bit lines are formed over a substrate surface and separated by an intervening insulative layer. Conductive portions of the bit lines are outwardly exposed and a layer of material is formed over the substrate and the exposed conductive portions of the bit lines. Selected portions of the layer of material are removed along with portions of the intervening layer sufficient to (a) expose selected areas of the substrate surface and to (b) re-expose conductive portions of the bit lines. Conductive material is subsequently formed to electrically connect exposed substrate areas with associated conductive portions of individual bit lines.
    Type: Application
    Filed: August 21, 2001
    Publication date: December 27, 2001
    Inventors: Pai-Hung Pan, Luan C. Tran, Tyler A. Lowrey
  • Patent number: 6326321
    Abstract: In one aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) enriching a portion of the thickness of the silicon nitride layer with silicon, the portion comprising less than or equal to about 95% of the thickness of the layer of silicon nitride. In another aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) increasing a refractive index of a first portion of the thickness of the silicon nitride layer relative to a refractive index of a second portion of the silicon nitride layer, the first portion comprising less than or equal to about 95% of the thickness of the silicon nitride layer.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: December 4, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Scott Jeffrey DeBoer, John T. Moore, Mark Fischer, Randhir P. S. Thakur
  • Patent number: 6316372
    Abstract: In one aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) enriching a portion of the thickness of the silicon nitride layer with silicon, the portion comprising less than or equal to about 95% of the thickness of the layer of silicon nitride. In another aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) increasing a refractive index of a first portion of the thickness of the silicon nitride layer relative to a refractive index of a second portion of the silicon nitride layer, the first portion comprising less than or equal to about 95% of the thickness of the silicon nitride layer.
    Type: Grant
    Filed: April 7, 1998
    Date of Patent: November 13, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Scott Jeffrey DeBoer, John T. Moore, Randhir P. S. Thakur, Mark Fischer
  • Patent number: 6297100
    Abstract: In a vertical MOSFET, an inactive ion species is ion-implanted into a J-FET portion, a surface channel layer, and/or a base region. The inactive ion species fill intrinsic carbon vacancies or interact with interstitial Si atoms, which are possible origin or responsible for B-diffusion from the base region. Accordingly, the B-diffusion caused by the intrinsic carbon vacancies when the base region is formed is suppressed. The width of the J-FET portion is prevented from being decreased, thereby preventing an increase in resistance of the J-FET portion. Also, the conductive type of the surface channel layer is prevented from being inverted by diffused impurities.
    Type: Grant
    Filed: September 29, 1999
    Date of Patent: October 2, 2001
    Assignee: Denso Corporation
    Inventors: Rajesh Kumar, Masami Naito, Hiroki Nakamura, Yuichi Takeuchi
  • Patent number: 6287881
    Abstract: A method of fabricating a semiconductor device having active components grown on a substrate, involves providing a semiconductor substrate on which the active components are grown, and doping the semiconductor substrate to render it non conductive and thereby reduce parasitic capacitance between active components thereon. The components typically comprise a VCSEL and monitor. The doped substrate reduces parasitic capacitance.
    Type: Grant
    Filed: October 25, 1999
    Date of Patent: September 11, 2001
    Assignee: Mitel Semiconductor AB
    Inventors: Jan Jonssön, Mikael Wickström
  • Patent number: 6271092
    Abstract: A method for fabricating a semiconductor device of the present invention comprises steps of forming a first oxide layer on a semiconductor substrate comprising a memory cell unit and an input/output circuit unit, removing selectively the first oxide layer on the memory cell unit, forming a photoresist layer on the first oxide layer on the input/output circuit unit and the semiconductor substrate of the memory cell unit, forming openings on regions where gate electrodes will be formed by patterning the photoresist layer, forming oxygen containing layers by implanting the oxygen ion in the semiconductor substrate on the memory cell unit and the first oxide layer of the input/out circuit unit through the openings, removing the photoresist layer, forming a trench inside of the semiconductor substrate on the memory cell unit by removing the oxygen containing layer formed in the semiconductor substrate, etching the first oxide layer as a certain thickness, forming the gate electrodes on the upper surface of the fir
    Type: Grant
    Filed: January 29, 2001
    Date of Patent: August 7, 2001
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sang-Gi Lee
  • Patent number: 6268640
    Abstract: A semiconductor device is fabricated by implanting into a semiconductor substrate non-doping ions at a tilt angle of at least about 10° to laterally extend preamorphization of the substrate portion and then implanting into the substrate dopants for providing source/drain extensions or halo doping or both.
    Type: Grant
    Filed: August 12, 1999
    Date of Patent: July 31, 2001
    Assignee: International Business Machines Corporation
    Inventors: Heemyong Park, Yuan Taur, Hsing-Jen C. Wann
  • Patent number: 6265293
    Abstract: A method of fabricating an integrated circuit with ultra-shallow source/drain junctions utilizes a dual amorphization technique. The technique creates a shallow amorphous region and a deep amorphous region 300 nm thick. The shallow amorphous region is between 10-15 nm below the top surface of the substrate, and the deep amorphous region is between 150-200 nm below the top surface of the substrate. The process can be utilized for P-channel or N-channel metal oxide semiconductor field effect transistors (MOSFETs). A step separate from the annealing step for the source/drain regions is utilized for annealing the gate conductor.
    Type: Grant
    Filed: August 27, 1999
    Date of Patent: July 24, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6235617
    Abstract: It is intended to provide a semiconductor device and its manufacturing method in which a high-resistance region maintaining a high resistance even under high temperatures can be made in a nitride III-V compound semiconductor layer having an electric conductivity by ion implantation. After a nitride III-V compound semiconductor layer having an electric conductivity is grown, a high resistance region is formed in the nitride III-V compound semiconductor layer by locally implanting boron ions therein. The amount of implanted boron is preferably not less than {fraction (1/30)}, or more preferably not less than {fraction (1/15)}, of the carrier concentration of the nitride III-V compound semiconductor layer. The high-resistance region is used as a device isolating region of an electron moving device or as a current blocking layer of a semiconductor laser.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: May 22, 2001
    Assignee: Sony Corporation
    Inventor: Hiroji Kawai
  • Patent number: 6204160
    Abstract: A method for making electrical contacts and junctions in silicon carbide that concurrently incorporates and activates dopants from a gaseous ambient. The low temperature processing of the present invention prevents the formation of crystalline defects during annealing and preserves the quantitative chemical properties of the silicon carbide. Improved activation of dopants incorporated in a silicon carbide sample is provided for making the electrical contacts and junctions.
    Type: Grant
    Filed: February 22, 1999
    Date of Patent: March 20, 2001
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Stephen D. Russell, Ayax D. Ramirez
  • Patent number: 6114225
    Abstract: Efficient transmutation doping of silicon through the bombardment of silicon wafers by a beam of protons is described. A key feature of the invention is that the protons are required to have an energy of at least 4 MeV to overcome the Coulomb barrier, thereby achieving practical utility . When this is done, transmutationally formed phosphorus in concentrations as high as 10.sup.16 atoms per cc. are formed from proton beams having a fluence as low as 10.sup.19 protons per square cm. As a byproduct of the process sulfur is also formed in a practical concentration range of about 10.sup.13 atoms per cc. This is readily removed by annealing at temperatures of the order of 700.degree. C. Because of the high energy of the protons, several silicon wafers may be processed simultaneously. As expected, the additional phosphorus is uniformly deposited throughout the entire thickness of a wafer. Masks, either freestanding or contact, may also be used in order to limit the transmuted regions to particular desired areas.
    Type: Grant
    Filed: November 16, 1998
    Date of Patent: September 5, 2000
    Assignee: Industrial Technology Research Institute
    Inventors: Chungpin Liao, Meihua Chao
  • Patent number: 6096627
    Abstract: A method for introducing an impurity dopant into a semiconductor layer of SiC is provided. Ions are implanted into the semiconductor layer so that a near surface of the semiconductor layer becomes doped and amorphous. The semiconductor layer is then annealed at a temperature so that the dopant diffuses into a non-implanted sublayer of the semiconductor layer below the near surface layer.
    Type: Grant
    Filed: July 15, 1998
    Date of Patent: August 1, 2000
    Assignee: ABB Research Ltd.
    Inventors: Christopher Harris, Andrei Konstantinov, Erik Janzen
  • Patent number: 6083780
    Abstract: A semiconductor device and a method of fabricating such a semiconductor device in which a silicon nitride film constituting a protective film for ion implantation is used for improving the device structure in order that conversion of a metal film into a silicide for reducing the resistance of a shallow-junction diffused layer may not be prevented by the knock-on phenomenon of oxygen, thereby reduce the fabrication cost. A silicon nitride film, which is used as a protective film for ion implantation into a substrate and a gate polysilicon, is processed into side walls of the gate polysilicon thereby to omit the step of forming side walls by a silicon oxide film. Further, in the case where boron is diffused into the gate polysilicon, boron diffusion is suppressed by nitrogen knock-on, thereby preventing boron from going through the gate oxide film.
    Type: Grant
    Filed: April 22, 1997
    Date of Patent: July 4, 2000
    Assignee: United Microelectronics Corporation
    Inventor: Hiroyasu Yasuda
  • Patent number: 6077749
    Abstract: A semiconductor device having gate oxide with a first thickness and a second thickness is formed by initially implanting a portion of the gate area of the semiconductor substrate with nitrogen ions and then forming a gate oxide on the gate area. The oxide having the greater thickness is formed adjacent a source or drain region of the device, and the oxide with the lesser thickness is formed adjacent the other one of the source or drain regions. Preferably the gate oxide is grown by exposing the gate area to an environment of oxygen. A nitrogen implant inhibits the rate of SiO.sub.2 growth in an oxygen environment. Therefore, the portion of the gate area with implanted nitrogen atoms will grow or form a layer of gate oxide, such as SiO.sub.2, which is thinner than the portion of the gate area less heavily implanted or not implanted with nitrogen atoms. The gate oxide layer could be deposited rather than growing the gate oxide layer.
    Type: Grant
    Filed: March 3, 1998
    Date of Patent: June 20, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford, Charles E. May
  • Patent number: 6001713
    Abstract: Methods are provided for significantly reducing electron trapping in semiconductor devices having a floating gate and an overlying dielectric layer. The methods form a nitrogen-rich region within the floating gate near the interface to an overlying dielectric layer. The methods include selectively introducing nitrogen into the floating gate prior to forming the overlying dielectric layer. This forms an initial nitrogen concentration profile within the floating gate. An initial portion of the overlying dielectric layer is then formed of a high temperature oxide (HTO). The temperature within the floating gate is purposely raised to an adequately high temperature to cause the initial nitrogen concentration profile to change due to the migration of the majority of the nitrogen towards the interface with the overlying dielectric layer and an interface with an underlying layer.
    Type: Grant
    Filed: September 16, 1998
    Date of Patent: December 14, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark T. Ramsbey, Vei-Han Chan, Sameer Haddad, Chi Chang, Yu Sun, Raymond Yu
  • Patent number: 5956603
    Abstract: A method for fabricating a plurality of shallow-junction metal oxide semiconductor field-effect transistors (MOSFETs) on a selected area of a silicon wafer, in the case in which the MOSFETs are spaced from one another by substantially transparent isolation elements. The method includes the step of flooding the entire selected area with laser radiation that is intended to effect the heating to a desired threshold temperature of only the selected depth of a surface layer of silicon that has been previously amorphized to this selected depth and then doped. This threshold temperature is sufficient to melt amorphized silicon but is insufficient to melt crystalline silicon.
    Type: Grant
    Filed: August 27, 1998
    Date of Patent: September 21, 1999
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Kurt Weiner
  • Patent number: 5933734
    Abstract: A high-speed MOS-technology power device integrated structure includes a plurality of elementary functional units formed in a lightly doped semiconductor layer of a first conductivity type, the elementary functional units including channel regions of a second conductivity type covered by a conductive insulated gate layer including a polysilicon layer; the conductive insulated gate layer also including a highly conductive layer superimposed over the polysilicon layer and having a resistivity much lower than the resistivity of the polysilicon layer, so that a resistance introduced by the polysilicon layer is shunted with a resistance introduced by the highly conductive layer and the overall resistivity of the insulated gate layer is lowered.
    Type: Grant
    Filed: March 4, 1997
    Date of Patent: August 3, 1999
    Assignee: SGS-Thomson Microelectronics S.r.l.
    Inventors: Giuseppe Ferla, Ferruccio Frisina
  • Patent number: 5930620
    Abstract: A semiconductor process in which at least one isolation structure is formed in a semiconductor substrate. An oxygen bearing species is introduced into portions of the semiconductor substrate proximal to the isolation structure. A gate dielectric layer is then formed on an upper surface of the semiconductor substrate. The presence of the oxygen bearing species in the proximal portions of the semiconductor substrate increases the oxidation rate of the portions relative to the oxidation rate of portions of the substrate that are distal to the isolation structures. In this manner, the first thickness of the gate dielectric over the proximal portions of the semiconductor substrate is greater than a second thickness of the gate oxide layer over remaining portions of the semiconductor substrate. The increased oxide thickness adjacent to the discontinuities of the isolation trench reduces the electric field across the oxide.
    Type: Grant
    Filed: September 12, 1997
    Date of Patent: July 27, 1999
    Assignee: Advanced Micro Devices
    Inventors: Derrick J. Wristers, Mark I. Gardner, H. Jim Fulford
  • Patent number: 5918133
    Abstract: Generally, the present invention relates to a semiconductor device having a dual thickness gate dielectric along the channel and a process of fabricating such a device. By providing a dual thickness gate dielectric, the gate dielectric can, for example, be optimized to the transistor and device performance can be enhanced.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: June 29, 1999
    Assignee: Advanced Micro Devices
    Inventors: Mark I. Gardner, Robert Paiz
  • Patent number: 5915196
    Abstract: A method of forming shallow diffusion layers in a semiconductor substrate is provided wherein the shallow diffusion layers are positioned in the vicinity of edge portions of a gate electrode and laterally extend from source/drain diffusion layers having a bottom level deeper than the shallow diffusion layers. The above method comprises the following steps. Crystal defects are selectively formed at least in predetermined shallow regions positioned in a surface region of the semiconductor substrate and in the vicinity of the edge portions of the gate electrode. The predetermined shallow regions are laterally in contact with impurity-introduced deep regions having been formed. The predetermined shallow regions have a bottom level shallower than the impurity-introduced deep regions.
    Type: Grant
    Filed: November 12, 1996
    Date of Patent: June 22, 1999
    Assignee: NEC Corporation
    Inventor: Akira Mineji
  • Patent number: 5885886
    Abstract: A method for manufacturing a semiconductor device exhibiting improved short channel effects and increased current driving ability is disclosed. The method includes the steps of: providing a substrate of a first conductivity-type, e.g., P-type; forming a gate insulating layer on the substrate; forming a gate electrode on the gate insulating layer; forming a gate cap insulating layer on the gate electrode; introducing inactive ions of the first conductivity-type into the first conductivity-type semiconductor substrate at both sides of the gate electrode, so as to form amorphous regions; forming first impurity regions of the first conductivity-type near the amorphous regions; and forming second impurity regions of a second conductivity-type, e.g., N-type, in the substrate at both sides of the gate electrode. The method also includes forming source and drain regions of the second conductivity-type in the substrate.
    Type: Grant
    Filed: September 18, 1997
    Date of Patent: March 23, 1999
    Assignee: LG Semicon Co., Ltd.
    Inventor: Sang Don Lee
  • Patent number: 5882961
    Abstract: A semiconductor device (20) is fabricated by doping a dielectric layer (29) located over the surface of a semiconductor substrate (21). The dielectric layer (29) contains nitrogen and is doped with silicon ions by using an ion implantation process (15) such that a peak concentration (32) of the silicon ions remains in the dielectric layer (29) during the ion implantation process (15). Doping the dielectric layer (29) reduces charge trapping in the dielectric layer (29) and reduces power slump in the semiconductor device (20) during high frequency operation.
    Type: Grant
    Filed: September 29, 1997
    Date of Patent: March 16, 1999
    Assignee: Motorola, Inc.
    Inventors: Lawrence S. Klingbeil, Jr., Mark R. Wilson