Contacting Multiple Semiconductive Regions (i.e., Interconnects) Patents (Class 438/618)
  • Patent number: 11444234
    Abstract: A method includes providing a film of a high-temperature superconductor compound on a flexible substrate, where a portion of the film has a first oxygen state, and exposing a portion of the film to a focused ion beam to create a structure within the film. The structure may result from the portion of the film being partially or completely removed. The structure may be a trench along the length or width of the film. The method may include annealing the exposed portion of the film to a second oxygen state. The oxygen content of the second oxygen state may be greater or less than the oxygen content of the first oxygen state.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: September 13, 2022
    Assignees: United States of America as represented by the Secretary of the Navy, the Navy
    Inventors: Benjamin J. Taylor, Teresa H. Emery
  • Patent number: 11437335
    Abstract: Integrated circuit (IC) packages employing a thermal conductive semiconductor package substrate with die region split and related fabrication methods are disclosed. The package substrate includes a die split where metal contacts in one or more dielectric layers of the package substrate underneath the IC die(s) are thicker (e.g., in a core die region) than other metal contacts (e.g., in a peripheral die region) in the dielectric layer. This facilitates higher thermal dissipation from the IC die(s) through the thicker metal contacts in the package substrate. Cross-talk shielding of the package substrate may not be sacrificed since thinner metal contacts of the package substrate that carry high speed signaling can be of lesser thickness than the thicker metal contacts that provide higher thermal dissipation.
    Type: Grant
    Filed: July 6, 2020
    Date of Patent: September 6, 2022
    Assignee: QUALCOMM Incorporated
    Inventors: Kuiwon Kang, Aniket Patil, Bohan Yan, Dongming He
  • Patent number: 11417677
    Abstract: According to one embodiment, a semiconductor memory device includes a first conductive layer, and a first structure that extends in a first direction orthogonal to a stacking direction of a stacked body and the stacking direction, and reaches a position deeper than an upper surface of the first conductive layer. The first structure has a first width at a bottom of the stacked body, and a second width narrower than the first width, in a first depth region from a position of the upper surface of the first conductive layer to a first depth position. A third conductive layer is connected to a side surface of the first conductive layer in the first depth region in a second direction orthogonal to the stacking direction and the first direction.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: August 16, 2022
    Assignee: Kioxia Corporation
    Inventors: Ayaha Hachisuga, Daigo Ichinose
  • Patent number: 11417738
    Abstract: Semiconductor structures and fabrication methods thereof are provided. The method includes: providing a substrate, the substate having a first opening; forming a first epitaxial layer in the first opening, the first epitaxial layer having a second opening; forming a stop layer on sidewall surfaces and a bottom surface of the second opening; forming a second epitaxial layer on a top surface of the stop layer; after forming the second epitaxial layer, forming a dielectric layer on the substrate, the dielectric layer having a third opening exposing a surface of the second epitaxial layer; forming a fourth opening in the second epitaxial layer by etching the second epitaxial layer exposed by the third opening until the stop layer is exposed; and forming a contact layer on sidewall surfaces and a bottom surface of the fourth opening by performing a semiconductor metallization process.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: August 16, 2022
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Qingchun Zhang
  • Patent number: 11410900
    Abstract: The semiconductor device has the CSP structure, and may include a plurality of electrode pads formed on a semiconductor integrated circuit in order to input/output signals from/to exterior; solder bumps for making external lead electrodes; and rewiring. The solder bumps may be arranged in two rows along the periphery of the semiconductor device. The electrode pads may be arranged inside the outermost solder bumps so as to be interposed between the two rows of solder bumps. Each trace of the rewiring may be extended from an electrode pad, and may be connected to any one of the outermost solder bumps or any one of the inner solder bumps.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: August 9, 2022
    Assignee: ROHM CO., LTD.
    Inventor: Kunihiro Komiya
  • Patent number: 11380616
    Abstract: Fan Out Package-On-Package (PoP) assemblies in which a second chip is adhered to a non-active side of a first chip. An active side of the first chip embedded in a first package material may be electrically coupled through one or more redistribution layers that fan out to package interconnects on a first side of the POP. A second chip may be adhered, with a second package material, to the non-active side of the first chip. An active side of the second chip may be electrically coupled to the package interconnects through a via structure extending through the first package material. Second interconnects between the second chip, or a package thereof, may contact the via structure. Use of the second package material as an adhesive may improve positional stability of the second chip to facilitate wafer-level assembly techniques.
    Type: Grant
    Filed: May 16, 2018
    Date of Patent: July 5, 2022
    Assignee: Intel IP Corporation
    Inventors: David O'Sullivan, Bernd Waidhas, Thomas Huber
  • Patent number: 11362048
    Abstract: A radiofrequency device includes a buried insulation layer, a transistor, a contact structure, a connection bump, an interlayer dielectric layer, and a mold compound layer. The buried insulation layer has a first side and a second side opposite to the first side in a thickness direction of the buried insulation layer. The transistor is disposed on the first side of the buried insulation layer. The contact structure penetrates the buried insulation layer and is electrically connected with the transistor. The connection bump is disposed on the second side of the buried insulation layer and electrically connected with the contact structure. The interlayer dielectric layer is disposed on the first side of the buried insulation layer and covers the transistor. The mold compound layer is disposed on the interlayer dielectric layer. The mold compound layer may be used to improve operation performance and reduce manufacturing cost of the radiofrequency device.
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: June 14, 2022
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Purakh Raj Verma, Wen-Shen Li, Ching-Yang Wen
  • Patent number: 11355663
    Abstract: A method of manufacturing an electronic device according to the present invention, comprises: preparing a substrate; forming an n-type semiconductor including a III-V compound semiconductor or a II-VI compound semiconductor material on the substrate; forming a metal thin film including at least one of copper (Cu), silver (Ag), gold (Au), titanium (Ti), and nickel (Ni) on the n-type semiconductor; and forming a p-type semiconductor on the n-type semiconductor by iodinizing the metal thin film using any one of liquid iodine (I), solid iodine (I), and gas iodine (I). Therefore, it is possible to overcome the limitation of the light emission efficiency of the p-type semiconductor by providing a hybrid type electronic device and a manufacturing method.
    Type: Grant
    Filed: December 27, 2018
    Date of Patent: June 7, 2022
    Assignee: PETALUX INC.
    Inventors: Seok Nam Ko, Do Yeol Ahn, Seung Hyun Yang
  • Patent number: 11335657
    Abstract: A data processing system includes a first wafer comprising a plurality of first chips, and kerf and crack-stop structures around perimeters of the first chips, and a second wafer comprising a plurality second chips, a plurality of interconnect structures through a connection zone between the second chips, and a plurality of thru silicon vias, wherein the first wafer and the second wafer are bonded face-to-face such that the interconnect structures of the second wafer electrically connect adjacent chip sites of the first wafer and where a pitch of the chips on the first and second wafer are equal.
    Type: Grant
    Filed: September 16, 2020
    Date of Patent: May 17, 2022
    Assignee: International Business Machines Corporation
    Inventors: Evan Colgan, Timothy J. Chainer, Monty Montague Denneau, Kai Schleupen, Diego Anzola, Mark D. Schultz, Layne A. Berge
  • Patent number: 11335855
    Abstract: An opto-electronic device includes: a first electrode; an organic layer disposed over the first electrode; a nucleation promoting coating disposed over the organic layer; a nucleation inhibiting coating covering a first region of the opto-electronic device; and a conductive coating covering a second region of the opto-electronic device.
    Type: Grant
    Filed: May 6, 2021
    Date of Patent: May 17, 2022
    Assignee: OTI Lumionics Inc.
    Inventors: Yi-Lu Chang, Qi Wang, Michael Helander, Jacky Qiu, Zhibin Wang, Thomas Lever
  • Patent number: 11322402
    Abstract: A semiconductor device includes a base structure including a lower level via and a lower level dielectric layer, a conductive pillar including an upper level line and an upper level via disposed on the lower level via, and a protective structure disposed between the lower level via and the upper level line. The protective structure includes a material having an etch rate less than or equal to that of the lower level via.
    Type: Grant
    Filed: August 14, 2019
    Date of Patent: May 3, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ruilong Xie, Chih-Chao Yang, Carl Radens, Juntao Li, Kangguo Cheng
  • Patent number: 11309311
    Abstract: An integrated circuit is disclosed, including a first conductive pattern and a second conductive pattern that are disposed in a first layer and extend in a first direction, at least one first conductive segment disposed in a second layer different from the first layer, and at least one via disposed between the first layer and the second layer. The at least one via is coupled between the at least one first conductive segment and one or both of the first conductive pattern and the second conductive pattern, at an output node of the integrated circuit. The at least one via comprises a tapered shape with a width that decreases from a first width to a second width narrower than the first width. The first width of the at least one via is greater than widths of the first conductive pattern and the second conductive pattern.
    Type: Grant
    Filed: February 11, 2020
    Date of Patent: April 19, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Po-Chia Lai, Shang-Wei Fang, Meng-Hung Shen, Jiann-Tyng Tzeng, Ting-Wei Chiang, Jung-Chan Yang, Stefan Rusu
  • Patent number: 11296109
    Abstract: A method of manufacturing a semiconductor device according to one embodiment includes forming a first film including a first metal above a processing target member. The method includes forming a second film including two or more types of element out of a second metal, carbon, and boron above the first film. The method includes forming a third film including the first metal above the second film. The method includes forming a mask film by providing an opening part to a stacked film including the first film, the second film and the third film. The method includes processing the processing target member by performing etching using the mask film as a mask.
    Type: Grant
    Filed: December 18, 2019
    Date of Patent: April 5, 2022
    Assignee: KIOXIA CORPORATION
    Inventors: Ryohei Kitao, Atsuko Sakata, Takeshi Ishizaki, Satoshi Wakatsuki, Shinichi Nakao, Shunsuke Ochiai, Kei Watanabe
  • Patent number: 11270918
    Abstract: The present application disclosed a conducting layer-dielectric layer-conducting layer (CDC) laminate structure and test method for detecting defects of an inter-metal dielectric layer. The laminate structure comprises: a dielectric layer formed on a substrate; a first conducting layer formed at a first side of the dielectric layer, wherein the first conducting layer includes a first metal region and at least one first opening in the first metal region; and a second conducting layer formed at a second side of the dielectric layer opposite to the first conducting layer such that the second conducting layer is separated from the first conducting layer by the dielectric layer, wherein the second conducting layer includes a second metal region and a plurality of second openings in the second metal region.
    Type: Grant
    Filed: May 27, 2019
    Date of Patent: March 8, 2022
    Assignee: MONTAGE TECHNOLOGY CO., LTD.
    Inventors: Xiong Zhang, Chunlai Sun, Peichun Wang, Gang Shi
  • Patent number: 11271103
    Abstract: A method of manufacturing a semiconductor device includes: providing a substrate; forming a gate structure on the substrate; depositing a first dielectric layer over the gate structure; depositing a conductive interconnect in a trench of the first dielectric layer thereby exposing a surface of the conductive interconnect through the first dielectric layer; depositing a conductive layer over the exposed surface of the conductive interconnect; depositing a silicon-containing layer over the conductive layer and the conductive interconnect; and forming a metal silicide layer to be a silicide form of the conductive layer by reacting the conductive layer with silicon in the silicon-containing layer.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: March 8, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Pohan Kung, Ying-Jing Lu, Chi-Cheng Hung, Yu-Sheng Wang, Shiu-Ko Jangjian
  • Patent number: 11271038
    Abstract: A semiconductor device includes a gate structure on a substrate, source and drain contacts respectively on opposite sides of the gate structure and connected to the substrate, a magnetic tunnel junction connected to the drain contact, a first conductive line connected to the source contact, and a second conductive line connected to the first conductive line through a first via contact. The second conductive line is distal to the substrate in relation to the first conductive line. The first and second conductive lines extend in parallel along a first direction. The first and second conductive lines have widths in a second direction intersecting the first direction. The widths of the first and second conductive lines are the same. The first via contact is aligned with the source contact along a third direction perpendicular to a top surface of the substrate.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: March 8, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myoungsu Son, Seung Pil Ko, Jung Hyuk Lee, Shinhee Han, Gwan-Hyeob Koh, Yoonjong Song
  • Patent number: 11264276
    Abstract: A method is presented for forming self-aligned vias by employing a top level line pattern. The method includes forming first conductive lines within a first dielectric material, recessing one conductive line of the conductive lines to define a first opening, filling the first opening with a second dielectric material, and forming a sacrificial block perpendicular to and in direct contact with a non-recessed first conductive line. The method further includes forming a single via directly underneath the sacrificial block by recessing the non-recessed first conductive line, removing the sacrificial block to define a second opening, and filling the second opening with a conductive material to define a second conductive line such that the single via aligns to both the non-recessed first conductive line and the second conductive line.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: March 1, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shyng-Tsong Chen, Terry A. Spooner
  • Patent number: 11244860
    Abstract: A method is presented for forming self-aligned vias by employing top level line double patterns. The method includes forming a plurality of first conductive lines within a first dielectric material, recessing one or more of the plurality of first conductive lines to define first openings, filling the first openings with a second dielectric material, and forming sacrificial blocks perpendicular to the plurality of first conductive lines. The method further includes forming vias directly underneath the sacrificial blocks, removing the sacrificial blocks, and constructing a plurality of second conductive lines such that the vias align to both the plurality of first conductive lines and the plurality of second conductive lines.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: February 8, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shyng-Tsong Chen, Terry A. Spooner, Koichi Motoyama, Chih-Chao Yang
  • Patent number: 11239165
    Abstract: Interconnect structures and methods for forming the interconnect structures generally include forming a bulk metal encapsulated in first and second interlayer dielectrics, a liner layer about a lower surface of the bulk metal and a metal cap layer about an upper surface of the bulk metal. The liner layer is in the first interlayer dielectric and the metal cap layer is in the second interlayer dielectric, wherein liner layer and the metal cap layer are different metals.
    Type: Grant
    Filed: March 10, 2020
    Date of Patent: February 1, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ruilong Xie, Christopher J. Waskiewicz, Kangguo Cheng, Chih-Chao Yang
  • Patent number: 11215923
    Abstract: The method includes the steps of: a) dividing a large-scale touch sensing pattern to be manufactured into multiple divisional patterns and producing multiple photomasks corresponding to the multiple divisional patterns; b) providing a substrate with a conductive layer; c) disposing a photoresist layer on the conductive layer; d) a first exposure process: forming an exposing divisional pattern and multiple first targets the photoresist layer; e) an adjacent exposure process: forming an adjacent exposing divisional pattern and multiple second targets, and adjacently connecting the adjacent exposing divisional pattern and the exposing divisional pattern originally on the photoresist layer; f) repeating the adjacent exposure process to form multiple adjacent exposing divisional patterns until a complete exposing pattern has been assembled; g) performing a developing process to the photoresist layer; and h) etching the conductive layer to form the large-scale touch sensing pattern on the conductive layer.
    Type: Grant
    Filed: August 3, 2020
    Date of Patent: January 4, 2022
    Assignee: YOUNG FAST OPTOELECTRONICS CO., LTD.
    Inventors: Chih-Chiang Pai, Meng-Kuei Lin, Jung-Han Liu
  • Patent number: 11201114
    Abstract: Methods/structures of forming thin film resistors using interconnect liner materials are described. Those methods/structures may include forming a first liner in a first trench, wherein the first trench is disposed in a dielectric layer that is disposed on a substrate. Forming a second liner in a second trench, wherein the second trench is adjacent the first trench, forming an interconnect material on the first liner in the first trench, adjusting a resistance value of the second liner, forming a first contact structure on a top surface of the interconnect material, and forming a second contact structure on the second liner.
    Type: Grant
    Filed: December 29, 2016
    Date of Patent: December 14, 2021
    Assignee: Intel Corporation
    Inventors: Kevin Lin, Christopher J. Jezewski, Richard F. Vreeland, Tristan A. Tronic
  • Patent number: 11189568
    Abstract: A technique relates to an integrated circuit (IC). The IC includes a conductive line formed on a conductive via, the conductive line being formed though a dielectric material. The IC includes an etch stop layer having one or more extended portions intervening between one or more edge portions of the conductive line and the conductive via, the one or more edge portions being at a periphery of the conductive line and the conductive via, the etch stop layer including a higher dielectric breakdown than the dielectric material. The one or more extended portions of the etch stop layer cause the conductive line to be formed with a bottom part having a reduced dimension than an upper part of the conductive line.
    Type: Grant
    Filed: April 29, 2020
    Date of Patent: November 30, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent Anderson, Lawrence A. Clevenger, Nicholas Anthony Lanzillo, Christopher J. Penny, Kisik Choi, Robert Robison
  • Patent number: 11183447
    Abstract: A flip-chip package substrate and a method for fabricating the same are provided. An insulation layer is formed on two opposing sides of a middle layer to form a composite core structure and increase the rigidity of the flip-chip package substrate. Therefore, the core structure can be made thinner. The conductive structures can also have a smaller end size, and more conductive points can be disposed within a unit area. Therefore, a circuit structure can be produced that have a fine line pitch and a high wiring density, satisfy the packaging demands of highly integrated circuit/large size substrate, and avoid an electronic package from being warpage.
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: November 23, 2021
    Assignee: PHOENIX PIONEER TECHNOLOGY CO., LTD.
    Inventors: Pao-Hung Chou, Chun-Hsien Yu, Shih-Ping Hsu
  • Patent number: 11177214
    Abstract: A back end of line interconnect structure and methods for forming the interconnect structure including a fully aligned via design generally includes wide lines formed of copper and narrow lines formed of an alternative metal. The fully aligned vias are fabricated using a metal recess approach and the hybrid metal conductors can be fabricated using a selective deposition approach.
    Type: Grant
    Filed: January 15, 2020
    Date of Patent: November 16, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kenneth Chun Kuen Cheng, Chanro Park, Koichi Motoyama, Chih-Chao Yang
  • Patent number: 11171117
    Abstract: Representative techniques and devices including process steps may be employed to form a common interconnection of a multi-die or multi-wafer stack. Each device of the stack includes a conductive pad disposed at a predetermined relative position on a surface of the device. The devices are stacked to vertically align the conductive pads. A through-silicon via is formed that electrically couples the conductive pads of each device of the stack.
    Type: Grant
    Filed: June 12, 2019
    Date of Patent: November 9, 2021
    Assignee: Invensas Bonding Technologies, Inc.
    Inventors: Guilian Gao, Belgacem Haba
  • Patent number: 11161737
    Abstract: A method of processing a double sided wafer of a microelectromechanical device includes spinning a resist onto a first side of a first wafer. The method further includes forming pathways within the resist to expose portions of the first side of the first wafer. The method also includes etching one or more depressions in the first side of the first wafer through the pathways, where each of the depressions have a planar surface and edges. Furthermore, the method includes depositing one or more adhesion metals over the resist such that the one or more adhesion metals are deposited within the depressions, and then removing the resist from the first wafer. The method finally includes depositing indium onto the adhesion metals deposited within the depressions and bonding a second wafer to the first wafer by compressing the indium between the second wafer and the first wafer.
    Type: Grant
    Filed: January 27, 2020
    Date of Patent: November 2, 2021
    Assignee: ELBIT SYSTEMS OF AMERICA, LLC
    Inventors: Arlynn W. Smith, Dan Chilcott
  • Patent number: 11164858
    Abstract: According to various embodiments, an integrated circuit may include an upper inter-level dielectric (ILD) layer, a lower ILD layer, and an interlayer arranged between the upper ILD layer and the lower ILD layer. The integrated circuit may further include a capacitor device and a resistor device. The capacitor device may include a top plate disposed in a first region of the interlayer and a bottom plate disposed in the lower ILD layer. The resistor device may include a resistive element and a plurality of vias disposed in a second region of the interlayer. The plurality of vias may extend from the resistive element to the lower ILD layer. A distance between the top plate and the lower ILD layer may be at least substantially equal to a height of each via of the plurality of vias.
    Type: Grant
    Filed: March 12, 2020
    Date of Patent: November 2, 2021
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Benfu Lin, Bo Yu, Chim Seng Seet, Kin Wai Tang
  • Patent number: 11158557
    Abstract: A semiconductor device includes a semiconductor body comprising a first surface and an edge surface, a contact electrode formed on the first surface and comprising an outer edge side, and a passivation layer section conformally covering the outer edge side of the contact electrode. The passivation layer section is a multi-layer stack comprising a first layer, a second layer, and a third layer. Each of the first, second and third layers comprise outer edge sides facing the edge surface and opposite facing inner edge sides. The outer edge side of the contact electrode is disposed laterally between the inner edge sides and the outer edge sides of each layer. The inner and outer edge sides of the third layer are closer to the outer edge side of the electrode than the respective inner and outer edge sides of the first and second layer.
    Type: Grant
    Filed: April 25, 2019
    Date of Patent: October 26, 2021
    Assignee: Infineon Technologies AG
    Inventors: Jens Peter Konrath, Christian Hecht, Roland Rupp, Andre Kabakow
  • Patent number: 11140785
    Abstract: A flexible printed circuit board includes a base layer and a pattern line. At least one communication hole penetrating opposite surfaces of the base layer. The pattern line includes two conductive circuit layers formed on the opposite surfaces of the base layer. At least one conductive pole are formed in the at least one communication hole and electrically connects the two conductive circuit layers. A gap being is formed between the conductive pole and the base layer.
    Type: Grant
    Filed: December 11, 2019
    Date of Patent: October 5, 2021
    Assignees: HongQiSheng Precision Electronics (QinHuangDao) Co., Ltd., Avary Holding (Shenzhen) Co., Limited.
    Inventors: Xian-Qin Hu, Cheng-Jia Li
  • Patent number: 11131933
    Abstract: A metal resist cleaning liquid including a solvent and formic acid.
    Type: Grant
    Filed: April 1, 2020
    Date of Patent: September 28, 2021
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoya Kumagai, Takahiro Akiyoshi
  • Patent number: 11094613
    Abstract: A semiconductor structure and the manufacturing method thereof are provided. A semiconductor structure includes a semiconductor substrate, a plurality of interconnecting layers, a first connector, and a second connector. The semiconductor substrate includes a plurality of semiconductor devices therein. The interconnecting layers are disposed over the semiconductor substrate and electrically coupled to the semiconductor devices. The first connector is disposed over the plurality of interconnecting layers and extends to be in contact with a first level of the plurality of interconnecting layers. The second connector is disposed over the plurality of interconnecting layers and substantially leveled with the first connector.
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: August 17, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Chia Hu, Hsien-Wei Chen, Ming-Fa Chen, Sen-Bor Jan
  • Patent number: 11088076
    Abstract: A semiconductor die includes at least one first semiconductor device located on a first substrate, a first pad-level dielectric layer which is a diffusion barrier overlying the at least one first semiconductor device, and first bonding structures including a respective first metallic bonding pad embedded in the first pad-level dielectric layer. Each of the first bonding structures includes a metallic fill material portion having a horizontal distal surface that is located within a horizontal plane including a horizontal distal surface of the first pad-level dielectric layer, and a metallic liner laterally surrounding the metallic fill material portion and vertically spaced from the horizontal plane by a vertical recess distance.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: August 10, 2021
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventor: Teruo Okina
  • Patent number: 11056387
    Abstract: Embodiments of methods and structures for forming a 3D integrated wiring structure are disclosed. The method can include forming a dielectric layer in a first substrate; forming a semiconductor structure having a first conductive contact over a front side of the first substrate; and forming a second conductive contact at a backside of the first substrate, wherein the second conductive contact extends through a backside of the dielectric layer and connects to a second end of the first conductive contact. The 3D integrated wiring structure can include a first substrate; a dielectric layer in the first substrate; a semiconductor structure over the front side of the first substrate, having a first conductive contact; and a second conductive contact at the backside of the first substrate, and the second conductive contact extends through a backside of the dielectric layer and connects to the second end of the first conductive contact.
    Type: Grant
    Filed: January 17, 2020
    Date of Patent: July 6, 2021
    Assignee: Yangtze Memory Technologies Co., Ltd.
    Inventors: Jifeng Zhu, Jun Chen, Si Ping Hu, Zhenyu Lu
  • Patent number: 11056445
    Abstract: Package structures are provided. A package structure includes an adhesive layer and a semiconductor substrate over the adhesive layer. The package structure also includes a connector over the semiconductor substrate. The package structure further includes a first buffer layer surrounding the connector. In addition, the package structure includes an encapsulation layer surrounding the first buffer layer. The first buffer layer is sandwiched between the encapsulation layer and the semiconductor substrate, and a sidewall of the encapsulation layer is in direct contact with a sidewall of the first buffer layer and a sidewall of the adhesive layer. The package structure also includes a redistribution layer over the first buffer layer and the encapsulation layer.
    Type: Grant
    Filed: December 31, 2019
    Date of Patent: July 6, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsiao-Wen Lee, Hsien-Wen Liu, Shin-Puu Jeng
  • Patent number: 10888856
    Abstract: A honeycomb structure including: a pillar-shaped honeycomb structure portion having an outer peripheral wall and partition walls disposed on an inner side of the outer peripheral wall and defining a plurality of cells extending from one end face to another end face to form flow paths; and at least an electrode portion disposed on an outer surface of the outer peripheral wall of the pillar-shaped honeycomb structure portion, wherein the pillar-shaped honeycomb structure portion is formed of ceramics containing either or both of Si and SiC, the electrode portion contains either or both of a metal and a metal compound in addition to an oxide, and a volume ratio of the oxide on an inner peripheral side of the electrode portion is higher than a volume ratio of the oxide on an outer peripheral side of the electrode portion.
    Type: Grant
    Filed: April 9, 2019
    Date of Patent: January 12, 2021
    Assignee: NGK Insulators, Ltd.
    Inventors: Takefumi Kimata, Takahiro Tomita
  • Patent number: 10892338
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to a scaled gate contact and source/drain cap and methods of manufacture. The structure includes: a gate structure comprising an active region; source and drain contacts adjacent to the gate structure; a capping material over the source and drain contacts; a gate contact formed directly above the active region of the gate structure and over the capping material; a U-shape dielectric material around the gate contact, above the source and drain contacts; and a contact in direct electrical contact to the source and drain contacts.
    Type: Grant
    Filed: October 24, 2018
    Date of Patent: January 12, 2021
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Ruilong Xie, Jae Gon Lee
  • Patent number: 10886173
    Abstract: A method for forming a fully self-aligned via is provided. A workpiece having a pattern of features in a dielectric layer is received into a common manufacturing platform. Metal caps are deposited on the metal features, and a barrier layer is deposited on the metal caps. A first dielectric layer is added to exposed dielectric material. The barrier layer is removed and an etch stop layer is added on the exposed surfaces of the first dielectric layer and the metal caps. Additional dielectric material is added on top of the etch stop layer, then both the additional dielectric material and a portion of the etch stop layer are etched to form a feature to be filled with metal material. An integrated sequence of processing steps is executed within one or more common manufacturing platforms to provide controlled environments. Transfer modules transfer the workpiece between processing modules within and between controlled environments.
    Type: Grant
    Filed: March 19, 2019
    Date of Patent: January 5, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Robert Clark, Kandabara Tapily, Kai-Hung Yu
  • Patent number: 10872861
    Abstract: A semiconductor package includes an electrical connection structure. The electrical connection structure includes: a first conductive layer; a second conductive layer on the first conductive layer; and a conductive cap between the first conductive layer and the second conductive layer, the conductive cap having a hardness greater than a hardness of the first conductive layer.
    Type: Grant
    Filed: February 7, 2018
    Date of Patent: December 22, 2020
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC. KAOHSIUNG, TAIWAN
    Inventors: Yong-Da Chiu, Shiu-Chih Wang, Shang-Kun Huang, Ying-Ta Chiu, Shin-Luh Tarng, Chih-Pin Hung
  • Patent number: 10854474
    Abstract: Described herein is a technology or a method for pre-fabricating pre-cut plating lines on a lead frame with use of a pre-cut etchback process to minimize burrs during a semiconductor package singulation process. A package includes: a chip, and a lead frame that mounts the chip. The lead frame further includes pre-fabricated pre-cut plating lines that are etched back on the lead frame to form an opening slot on a periphery of the lead frame. The opening slot allows a saw blade to cut through a prepreg material, without touching or cutting a conductive material of the lead frame.
    Type: Grant
    Filed: April 7, 2020
    Date of Patent: December 1, 2020
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Erma Gallenero Gardose, Liya Flores Aquino
  • Patent number: 10818727
    Abstract: A semiconductor device includes a gate structure on a substrate, source and drain contacts respectively on opposite sides of the gate structure and connected to the substrate, a magnetic tunnel junction connected to the drain contact, a first conductive line connected to the source contact, and a second conductive line connected to the first conductive line through a first via contact. The second conductive line is distal to the substrate in relation to the first conductive line. The first and second conductive lines extend in parallel along a first direction. The first and second conductive lines have widths in a second direction intersecting the first direction. The widths of the first and second conductive lines are the same. The first via contact is aligned with the source contact along a third direction perpendicular to a top surface of the substrate.
    Type: Grant
    Filed: October 16, 2018
    Date of Patent: October 27, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myoungsu Son, Seung Pil Ko, Jung Hyuk Lee, Shinhee Han, Gwan-Hyeob Koh, Yoonjong Song
  • Patent number: 10804274
    Abstract: A method of performing co-integrated fabrication of a non-volatile memory (NVM) and a gate-all-around (GAA) nanosheet field effect transistor (FET) includes recessing fins in a channel region of the NVM and the FET to form source and drain regions adjacent to recessed fins, and removing alternating portions of the recessed fins of the NVM and the FET to form gaps in the recessed fins. A stack of layers that make up an NVM structure are conformally deposited within the gaps of the recessed fins leaving second gaps, smaller than the gaps, and above the recessed fins of the NVM while protecting the FET with the organic planarization layer (OPL) and a block mask. The OPL and block mask are removed from the FET, and another OPL and another block mask protect the NVM while a gate of the FET is formed above the recessed fins and within the gaps.
    Type: Grant
    Filed: February 27, 2019
    Date of Patent: October 13, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Zhenxing Bi, Zheng Xu, Dexin Kong, Kangguo Cheng
  • Patent number: 10797161
    Abstract: Methods for forming semiconductor structures are provided. The method includes forming a gate structure over a substrate and forming a source/drain structure adjacent to the gate structure. The method further includes forming a mask structure over the gate structure and forming a contact over the source/drain structure. The method further includes selectively forming a metal-containing layer over a top surface of the contact and forming a dielectric layer over the substrate and covering the gate structure and the contact. The method further includes forming a trench through the dielectric layer and the metal-containing layer to expose the top surface of the contact and forming a conductive structure in the trench.
    Type: Grant
    Filed: October 4, 2018
    Date of Patent: October 6, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mrunal A. Khaderbad, Sung-Li Wang, Yasutoshi Okuno
  • Patent number: 10790287
    Abstract: Memory devices and methods of forming memory devices are described. The memory devices comprise two work-function metal layers, where one work-function layer has a lower work-function than the other work-function layer. The low work-function layer may reduce gate-induced drain leakage current losses. Methods of forming memory devices are also described.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: September 29, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sung-Kwan Kang, Gill Yong Lee, Sang Ho Yu, Shih Chung Chen, Jeffrey W. Anthis
  • Patent number: 10784152
    Abstract: A manufacturing method of a semiconductor device is disclosed, including: providing a first wafer and a second wafer that are bonded, a back surface of the first substrate of the first wafer is provided with a passivation layer; performing a photolithography and etching process to form a first opening; forming a hard mask layer, the hard mask layer covers at least a sidewall surface of the first opening; performing an etching process to form a second opening; performing a photolithography and etching process to form a third opening; and forming an interconnection layer. A back surface of a first substrate is provided with a passivation layer, after a first opening is formed, a hard mask layer is formed on a sidewall surface of the first opening, and a maskless etching process is performed to form a second opening, thereby simplifying the process, eliminating one photomask and reducing the production cost.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: September 22, 2020
    Assignee: WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Heng Liu
  • Patent number: 10784201
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include forming a structure comprising a first contact metal disposed on a source/drain contact of a substrate, and a second contact metal disposed on a top surface of the first contact metal, wherein the second contact metal is disposed within an ILD disposed on a top surface of a metal gate disposed on the substrate.
    Type: Grant
    Filed: April 12, 2019
    Date of Patent: September 22, 2020
    Assignee: Intel Corporation
    Inventors: Bernhard Sell, Oleg Golonzka
  • Patent number: 10763306
    Abstract: A resistive memory includes a semiconductor substrate, a dielectric layer, an insulating layer and a metal electrode layer. The semiconductor substrate has a top surface and a recess extending downwards into the semiconductor substrate from the top surface. The dielectric layer is disposed on the semiconductor substrate and has a first through-hole aligning the recess. The insulating layer is disposed in the first through-hole and the recess. The metal electrode layer is disposed on the insulating layer by which the metal electrode layer is isolated from the semiconductor substrate.
    Type: Grant
    Filed: July 18, 2019
    Date of Patent: September 1, 2020
    Assignee: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Po-Hao Tseng, Dai-Ying Lee, Erh-Kun Lai
  • Patent number: 10741454
    Abstract: Methods are presented for forming multi-threshold field effect transistors. The methods generally include depositing and patterning an organic planarizing layer to protect underlying structures formed in a selected one of the nFET region and the pFET region of a semiconductor wafer. In the other one of the nFET region and the pFET region, structures are processed to form an undercut in the organic planarizing layer. The organic planarizing layer is subjected to a reflow process to fill the undercut. The methods are effective to protect a boundary between the nFET region and the pFET region.
    Type: Grant
    Filed: August 9, 2018
    Date of Patent: August 11, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jing Guo, Ekmini A. De Silva, Nicolas Loubet, Indira Seshadri, Nelson Felix
  • Patent number: 10732501
    Abstract: The present application relates to a method for permanently repairing defects of absent material of a photolithographic mask, comprising the following steps: (a) providing at least one carbon-containing precursor gas and at least one oxidizing agent at a location to be repaired of the photolithographic mask; (b) initiating a reaction of the at least one carbon-containing precursor gas with the aid of at least one energy source at the location of absent material in order to deposit material at the location of absent material, wherein the deposited material comprises at least one reaction product of the reacted at least one carbon-containing precursor gas; and (c) controlling a gas volumetric flow rate of the at least one oxidizing agent in order to minimize a carbon proportion of the deposited material.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: August 4, 2020
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Jens Oster, Kinga Kornilov, Tristan Bret, Horst Schneider, Thorsten Hofmann
  • Patent number: 10727165
    Abstract: The present disclosure relates to a chip including a wafer, a back-end-of-line (BEOL) layer deposited on the wafer, a chip TSV in the wafer containing a conductive material, and a chip cap layer disposed between the chip TSV and the BEOL layer, and configured to reduce via extrusion of conductive material in the chip TSV during operation of the chip. The present disclosure further includes a 3D integrated circuit including a plurality of electrically connected chips, at least one of which is a chip as described above. The disclosure further relates to a 3D integrated circuit with an interposer, a TSV in the interposer containing a conductive material, and an interposer cap layer configured to reduce via extrusion of the conductive material located in the interposer TSV during operation of the circuit. The present disclosure further includes methods of forming such chips and 3D integrated circuits.
    Type: Grant
    Filed: December 28, 2018
    Date of Patent: July 28, 2020
    Assignee: Board of Regents, The University of Texas System
    Inventors: Paul S. Ho, Tengfei Jiang
  • Patent number: 10727121
    Abstract: The present disclosure relates to integrated circuits and to methods of manufacturing interconnects of integrated circuits. For example, an integrated circuit includes a surface of the integrated circuit and an interconnect formed on the surface and comprising a metal. An average grain size of the metal of the interconnect is greater than or equal to at least half of a line width of the interconnect. In another example, a method for manufacturing an interconnect of an integrated circuit includes depositing a layer of a metal onto a surface of the integrated circuit, annealing the metal, patterning a first hard mask for placement over the metal and forming a line of the interconnect and a first via of the interconnect by performing a timed etch of the metal using the first hard mask.
    Type: Grant
    Filed: November 27, 2018
    Date of Patent: July 28, 2020
    Assignee: ELPIS TECHNOLOGIES INC.
    Inventors: Robert L. Bruce, Cyril Cabral, Jr., Gregory M. Fritz, Eric A. Joseph, Michael F. Lofaro, Hiroyuki Miyazoe, Kenneth P. Rodbell, Ghavam Shahidi