Contacting Multiple Semiconductive Regions (i.e., Interconnects) Patents (Class 438/618)
  • Patent number: 9852984
    Abstract: A method of lithographically cutting a Mx line before the Mx line is lithographically defined by patterning and the resulting 2DSAV device are provided. Embodiments include forming an a-Si dummy metal layer over a SiO2 layer; forming a first softmask stack over the a-Si dummy metal layer; patterning a plurality of vias through the first softmask stack down to the SiO2 layer; removing the first soft mask stack; forming first and second etch stop layers over the a-Si dummy metal layer, the first etch stop layer formed in the plurality of vias; forming a-Si mandrels on the second etch stop layer; forming oxide spacers on opposite sides of each a-Si mandrel; removing the a-Si mandrels; forming a-Si dummy metal lines in the a-Si dummy metal layer below the oxide spacers; and forming a SiOC layer between the a-Si dummy metal lines.
    Type: Grant
    Filed: July 12, 2016
    Date of Patent: December 26, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Guillaume Bouche, Andy Wei, Sudharshanan Raghunathan
  • Patent number: 9847261
    Abstract: A method of forming a contact in a semiconductor device includes forming a first gate and a second gate on a substrate; removing an interlayer dielectric (ILD) material arranged between the first gate and the second gate to form a trench that extends from a surface of the first gate and a surface of the second gate to the substrate; depositing a liner along a sidewall of the trench and an endwall of the trench in contact with the substrate; depositing by a physical vapor deposition method (PVD) a layer of metal on a surface of the first gate and a surface of the second gate; and heating to reflow metal from the layer of metal on the surface of the first gate and the second gate into the trench and form the contact.
    Type: Grant
    Filed: April 21, 2016
    Date of Patent: December 19, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Juntao Li, Junli Wang, Chih-Chao Yang
  • Patent number: 9831174
    Abstract: Devices and methods of fabricating integrated circuit devices for forming low resistivity interconnects are provided. One method includes, for instance: obtaining an intermediate semiconductor interconnect device having a substrate, a cap layer, and a dielectric matrix including a set of trenches and a set of vias; depositing a barrier layer along a top surface of the semiconductor interconnect device; depositing and annealing a metal interconnect material over a top surface of the barrier layer, wherein the metal interconnect material fills the set of trenches and the set of vias; planarizing a top surface of the intermediate semiconductor interconnect device; exposing a portion of the barrier layer between the set of trenches and the set of vias; and depositing a dielectric cap. Also disclosed is an intermediate device formed by the method.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: November 28, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Xunyuan Zhang, Frank W. Mont, Errol Todd Ryan
  • Patent number: 9831117
    Abstract: Embodiments of the present disclosure are a method of forming a semiconductor device and methods of patterning a semiconductor device. An embodiment is a method of forming a semiconductor device, the method including forming a first hard mask layer over a semiconductor device layer, the first hard mask layer comprising a metal-containing material, forming a second hard mask layer over the first hard mask layer, and forming a first set of metal-containing spacers over the second hard mask layer. The method further includes patterning the second hard mask layer using the first set of metal-containing spacers as a mask, forming a second set of metal-containing spacers on sidewalls of the patterned second hard mask layer, and patterning the first hard mask layer using the second set of metal-containing spacers as a mask.
    Type: Grant
    Filed: September 4, 2015
    Date of Patent: November 28, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Hsu Wu, Tsung-Min Huang, Cheng-Hsiung Tsai, Chung-Ju Lee, Tien-I Bao, Shau-Lin Shue
  • Patent number: 9825262
    Abstract: Disclosed is an organic light emitting display apparatus in which an anode electrode, an organic emission layer, a cathode electrode, and an auxiliary electrode connected to the cathode electrode and disposed on the same layer as that of the anode electrode are disposed in an active area of the substrate, a signal pad and a pad electrode connected to the signal pad and covering a top of the signal pad are disposed in a pad area of the substrate, and a top of the pad electrode has lower oxidation rate than the top of the signal pad.
    Type: Grant
    Filed: November 8, 2016
    Date of Patent: November 21, 2017
    Assignee: LG DISPLAY CO., LTD.
    Inventors: Joon Suk Lee, Se June Kim, So Jung Lee, Jin-Hee Jang, Jong Hyeok Im, Jae Sung Lee
  • Patent number: 9799558
    Abstract: A method for manufacturing a semiconductor structure is provided. The method includes forming a first dielectric layer over a substrate and forming a sacrificial layer over the first dielectric layer. The method further includes forming an opening in the sacrificial layer and etching the first dielectric layer to form a via hole through the opening. The method further includes forming a conductive structure in the via hole and the opening and removing the sacrificial layer to expose an upper portion of the conductive structure. The method further includes forming a second dielectric layer around the upper portion of the conductive material.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: October 24, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsi-Wen Tien, Carlos H. Diaz, Chung-Ju Lee, Shau-Lin Shue, Tien-I Bao
  • Patent number: 9790084
    Abstract: A micromechanical sensor device includes an evaluation circuit formed in a first substrate, and an MEMS structure which is situated in a cavity delimited by a second substrate and a third substrate, the MEMS structure and the second substrate being situated on top of each other, the MEMS structure being functionally connected to the evaluation circuit via a contact area, the contact area between the MEMS structure and the first substrate being situated essentially centrally on the second substrate and essentially centrally on the first substrate and has an essentially punctiform configuration, proceeding radially from the contact area, a clearance being formed between the first substrate and the second substrate.
    Type: Grant
    Filed: November 7, 2014
    Date of Patent: October 17, 2017
    Assignee: ROBERT BOSCH GMBH
    Inventors: Arnd Kaelberer, Jochen Reinmuth
  • Patent number: 9793415
    Abstract: A semiconductor device and a method of manufacturing the semiconductor device are provided. The semiconductor device includes a semiconductor layer, a gate electrode on the semiconductor layer, a first insulating layer between the semiconductor layer and the gate electrode; a second insulating layer on the gate electrode, source and drain electrodes corresponding to both ends of the semiconductor layer and disposed on the second insulating layer, and a doping layer disposed along contact holes of the first and second insulating layers, which expose the both ends of the semiconductor layer, such as, between the both ends of the semiconductor layer and the source and drain electrodes.
    Type: Grant
    Filed: March 9, 2016
    Date of Patent: October 17, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Junghyun Kim, Kiwan Ahn
  • Patent number: 9786556
    Abstract: According to an embodiment, a manufacturing method of a semiconductor device includes forming, on a film to be processed, a plurality of first core material patterns and a plurality of second core material patterns. Each of the second core material patterns is drawn from an end portion of the corresponding first core material pattern. The manufacturing method includes forming an opening pattern having one or a plurality of openings in the second core material pattern so that a first distance and a second distance are less than a predetermined distance. The first distance is a distance between an edge of the second core material pattern at a side of an adjacent first core material pattern and the opening pattern. The second distance is a distance between an edge of the second core material pattern at a side of an adjacent second core material pattern and the opening pattern.
    Type: Grant
    Filed: January 19, 2017
    Date of Patent: October 10, 2017
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Weiting Wang, Fumiharu Nakajima, Yoko Yokoyama, Sadatoshi Murakami
  • Patent number: 9768131
    Abstract: A wiring (3) comprising electrical conductors (4, 5, 6, 7) is formed in a dielectric layer (2) on or above a semiconductor substrate (1), an opening is formed in the dielectric layer to uncover a contact pad (8), which is formed by one of the conductors, and a further opening is formed in the dielectric layer to uncover an area of a further conductor (5), separate from the contact pad. The further opening is filled with an electrically conductive material (9), and the dielectric layer is thinned from a side opposite the substrate, so that the electrically conductive material protrudes from the dielectric layer.
    Type: Grant
    Filed: January 14, 2015
    Date of Patent: September 19, 2017
    Assignee: AMS AG
    Inventors: Jochen Kraft, Karl Rohracher, Martin Schrems
  • Patent number: 9754906
    Abstract: The present disclosure relates to a package substrate. The package substrate includes a patterned conductive layer and conductive pillars. Each of the conductive pillars includes a first portion and a second portion, where the first portion contacts the patterned conductive layer at one end of the first portion, and the second portion is adjacent to another end of the first portion. A thickness of the first portion is greater than a thickness of the second portion. Side surfaces of the first portion are substantially coplanar to side surfaces of the second portion.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: September 5, 2017
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Li-Chuan Tsai, Chih-Cheng Lee
  • Patent number: 9754859
    Abstract: A semiconductor device includes a semiconductor substrate, a doped zone, a polysilicon layer and an elongate plug structure. The doped zone is within the semiconductor substrate. The polysilicon layer is disposed in a trench electrically isolated from the semiconductor substrate by an insulating layer. The elongate plug structure extends in a lateral direction in or above the semiconductor substrate. The elongate plug structure provides electrical connection between the doped zone and the polysilicon layer.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: September 5, 2017
    Assignee: Infineon Technologies AG
    Inventors: Walter Rieger, Franz Hirler, Martin Poelzl, Manfred Kotek
  • Patent number: 9748177
    Abstract: Electronic assemblies including substrates and their manufacture are described. One assembly includes a die embedded in a dielectric layer in a multilayer substrate, and a dielectric region embedded in the dielectric layer in the multilayer substrate. The multilayer substrate includes a die side and a land side, with the first dielectric region and the dielectric layer extending to the die side. A plurality of vias are positioned within the first dielectric region, the vias extending to pads on the die side. Other embodiments are described and claimed.
    Type: Grant
    Filed: June 13, 2016
    Date of Patent: August 29, 2017
    Assignee: INTEL CORPORATION
    Inventors: Weng Hong Teh, Vinodhkumar Raghunathan
  • Patent number: 9741577
    Abstract: A method of forming a contact in a semiconductor device includes forming a first gate and a second gate on a substrate; removing an interlayer dielectric (ILD) material arranged between the first gate and the second gate to form a trench that extends from a surface of the first gate and a surface of the second gate to the substrate; depositing a liner along a sidewall of the trench and an endwall of the trench in contact with the substrate; depositing by a physical vapor deposition method (PVD) a layer of metal on a surface of the first gate and a surface of the second gate; and heating to reflow metal from the layer of metal on the surface of the first gate and the second gate into the trench and form the contact.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: August 22, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Juntao Li, Junli Wang, Chih-Chao Yang
  • Patent number: 9728451
    Abstract: The present invention provides a semiconductor wafer, a semiconductor chip and a semiconductor package. The semiconductor wafer includes a first pad, a first inter-layer dielectric and a second pad. The first pad is disposed on a top surface of a semiconductor substrate and has a solid portion and a plurality of through holes. The first inter-layer dielectric covers the first pad. The second pad is disposed on the first inter-layer dielectric and has a solid portion and a plurality of through holes, wherein the through holes of the first pad correspond to the solid portion of the second pad.
    Type: Grant
    Filed: August 21, 2014
    Date of Patent: August 8, 2017
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Chen-Chao Wang, Ying-Te Ou
  • Patent number: 9728583
    Abstract: A layer of silicon nitride above the bottom electrode and on the sidewalls of the magnetoresistive stack serves as an insulator and an etch stop during manufacturing of a magnetoresistive device. Non-selective chemical mechanical polishing removes any silicon nitride overlying a top electrode for the device along with silicon dioxide used for encapsulation. Later etching operations corresponding to formation of a via to reach the top electrode use selective etching chemistries that remove silicon dioxide to access the top electrode, but do not remove silicon nitride. Thus, the silicon nitride acts as an etch stop, and, in the resulting device, provides an insulating layer that prevents unwanted short circuits between the via and the bottom electrode and between the via and the sidewalls of the magnetoresistive device stack.
    Type: Grant
    Filed: August 29, 2016
    Date of Patent: August 8, 2017
    Assignee: EVERSPIN TECHNOLOGIES, INC.
    Inventors: Kerry Joseph Nagel, Sanjeev Aggarwal, Moazzem Hossain, Nicholas Rizzo
  • Patent number: 9721883
    Abstract: Integrated circuits and manufacturing methods of the same are disclosed. The integrated circuit includes a transistor, a first dielectric layer, an etch stop layer, a first via and a first conductive layer. The first dielectric layer is disposed between the transistor and the etch stop layer. The first via is disposed in the first dielectric layer and the etch stop layer, and electrically connected to the transistor. The first conductive layer is in contact with the first via, wherein the first via is disposed between the first conductive layer and the transistor, and the etch stop layer is aside a portion of the first via adjacent to the first conductive layer.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: August 1, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Lung Lai, Chen-Chieh Chiang, Chi-Cherng Jeng, Shiu-Ko JangJian
  • Patent number: 9698055
    Abstract: A method includes etching a semiconductor substrate to form a semiconductor strip and trenches on opposite sidewalls of the semiconductor strip. A spacer is formed on a sidewall of the semiconductor strip which is used as an etching mask to extend the trenches down into the semiconductor substrate. A dielectric material is filled into the trenches and then planarized to form insulation regions in the trenches. The insulation regions are recessed. After the recessing, top surfaces of the insulation regions are lower than a top surface of the semiconductor strip and a gate structure may be formed thereon.
    Type: Grant
    Filed: October 6, 2015
    Date of Patent: July 4, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Wei Chang, Ryan Chia-Jen Chen, Srisuda Thitinun
  • Patent number: 9691615
    Abstract: After forming a material stack including, from bottom to top, a dielectric material layer, a transfer layer, a hard mask layer and a neutral layer over a substrate, the neutral layer and the hard mask layer is patterned to create trenches therein that correspond to areas where unnecessary lines generated by a self-assembly of a self-assembling material subsequently formed and/or unnecessary portions of such lines are present. The self-assembling material is applied over the top surfaces of the patterned neutral layer and the transfer layer to form a self-aligned lamellar structure including alternating first and second domains. The second domains are removed selective to the first domains to provide a directed self-assembly (DSA) pattern of the first domains. Portions of the first domains not intersecting the trenches can be transferred into the patterned hard mask layer, resulting in a composite pattern of a pattern of trenches and the DSA pattern.
    Type: Grant
    Filed: August 28, 2015
    Date of Patent: June 27, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Markus Brink, Joy Cheng, Gregory S. Doerk, Michael A. Guillorn, HsinYu Tsai
  • Patent number: 9677172
    Abstract: Methods for forming a liner layer are provided herein. In some embodiments, a method of forming a liner layer on a substrate disposed in a process chamber, the substrate having an opening formed in a first surface of the substrate, the opening having a sidewall and a bottom surface, the method includes exposing the substrate to a cobalt precursor gas and to a ruthenium precursor gas to form a cobalt-ruthenium liner layer on the first surface of the substrate and on the sidewall and bottom surface of the opening.
    Type: Grant
    Filed: January 21, 2015
    Date of Patent: June 13, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Tae Hong Ha, Wei Lei, Kie Jin Park
  • Patent number: 9653323
    Abstract: A manufacturing method of a substrate structure is provided. The method includes the following steps. Firstly, a conductive carrier is provided. Then, a first metal layer is formed on the conductive carrier. Then, a second metal layer is formed on the first metal layer. Then, a third metal layer is formed on the second metal layer, wherein each of the second metal layer and the third metal layer has a first surface and a second surface opposite to the first surface, the first surface of the third metal layer is connected to the second surface of the second metal layer, the surface area of the first surface of the third metal layer is larger than the surface area of the second surface of the second metal layer, and the first metal layer, the second metal layer and the third metal layer form a conductive structure.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: May 16, 2017
    Assignee: ADVANPACK SOLUTIONS PTE LTD.
    Inventors: Hwee-Seng Jimmy Chew, Shoa-Siong Raymond Lim
  • Patent number: 9646899
    Abstract: An interconnect assembly includes a bond pad and an interconnect structure configured to electrically couple an electronic structure to the bond pad. The interconnect structure physically contacts areas of the bond pad that are located outside of a probe contact area that may have been damaged during testing. Insulating material covers the probe contact area and defines openings spaced apart from the probe contact area. The interconnect structure extends through the openings to contact the bond pad.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: May 9, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Owen R. Fay, Kyle K. Kirby, Luke G. England, Jaspreet S. Gandhi
  • Patent number: 9640388
    Abstract: In a method for forming a fluorocarbon-based insulating film to be in contact with a metal, a microwave is irradiated to the metal to which moisture is adhered in a hydrogen-containing atmosphere. Then plasma CVD using a fluorocarbon-based gas is performed on the metal to which the microwave is irradiated to form the insulating film.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: May 2, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Kasai, Kotaro Miyatani, Takuya Kurotori, Kenichi Kote, Yutaka Fujino, Akira Tanihara, Kohei Kawamura
  • Patent number: 9633897
    Abstract: The present disclosure relates to a method of forming an interconnect structure. In some embodiments, the method is performed by forming a trench within a first dielectric layer and forming sacrificial spacers along sidewalls of the trench. The trench is filled with a conductive material, and the sacrificial spacers are removed after the trench has been filled with the conductive material. A second dielectric layer is formed over the first dielectric layer to leave an air-gap in a region from which the sacrificial spacers were removed.
    Type: Grant
    Filed: June 1, 2016
    Date of Patent: April 25, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tai-I Yang, Cheng-Chi Chuang, Yung-Chih Wang, Tien-Lu Lin
  • Patent number: 9570389
    Abstract: An interconnect structure includes a dielectric layer with one or more trenches extending therein, one or more interconnect lines, and one or more first liner layers. Each interconnect line is positioned within a trench. At least one first liner layer is affixed between the trench bottom surface and the interconnect bottom surface. The interconnect structure further includes one or more second liner layers. At least one of the second liner layers is affixed directly to the interconnect top surface and at least one interconnect side surface. The interconnect structure further includes at least one air gap. Each air gap is positioned between the trench side surface and the interconnect side surface. A corresponding method of manufacture and product of a method of manufacture are also disclosed.
    Type: Grant
    Filed: October 27, 2015
    Date of Patent: February 14, 2017
    Assignee: International Business Machines Corporation
    Inventors: Dinesh A. Badami, Baozhen Li, Wen Liu, Chih-Chao Yang
  • Patent number: 9564328
    Abstract: The method for fabricating patterns made from first material having: providing a substrate covered by a covering layer, forming a first mask by means of a self-assembled structure of block copolymers, the first mask having first patterns, making a second mask from the first mask, the second mask having a second series of patterns organized according to the first repetition pitch or an integral multiple of the first repetition pitch, the second series having less patterns than the first series, depositing and exposing a resin layer to form an intermediate mask on the first mask, the intermediate mask covering a part of the first patterns formed in the first mask and having second holes facing the first holes, etching the covering layer through the facing first and second holes to form third holes, filling the third holes with a first material to form the patterns made from first material.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: February 7, 2017
    Assignee: COMMISSARIAT À L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Jérôme Belledent
  • Patent number: 9564404
    Abstract: Systems and methods for forming semiconductor wafers with wafer support structures includes: multiple semiconductor devices formed in multiple semiconductor dies. An electrical interconnect structure is formed over the semiconductor devices and providing electrical connections to the semiconductor devices. The electrical interconnect structure includes multiple metallization layers. At least one portion of at least one metallization layer includes variations in density of conductive lines or conducting devices as compared to the other portions of the metallization layers. At least one wafer support structure is formed substantially across a width of the semiconductor wafer. The semiconductor wafer being thinned to between about 40 um and about 200 um after the semiconductor devices formed thereon.
    Type: Grant
    Filed: January 20, 2015
    Date of Patent: February 7, 2017
    Assignee: SanDisk Technologies LLC
    Inventor: Manuel A. d'Abreu
  • Patent number: 9553047
    Abstract: Provided are improved semiconductor memory devices and methods for manufacturing such semiconductor memory devices. A method may incorporate the patterning of the array and periphery regions in self-aligned quadruple patterning and provide semiconductor devices resulting from the combined patterning.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: January 24, 2017
    Assignee: Macronix International Co., Ltd.
    Inventors: Yu-Min Hung, Tzung-Ting Han, Miao-Chih Hsu
  • Patent number: 9553049
    Abstract: Disclosed are devices and methods related to metallization of semiconductors. A metalized structure can include a first titanium (Ti) layer disposed over a compound semiconductor, a first barrier layer disposed over the first Ti layer, a second Ti layer disposed over the first barrier layer, and a copper (Cu) layer disposed over the second Ti layer. The second Ti layer can be configured to inhibit or reduce alloying of the Cu layer and the first barrier layer. The first Ti layer, the first barrier layer, and the second Ti layer can be configured to yield a barrier between the Cu layer and an ohmic metal layer formed on the compound semiconductor. The metalized structure can further include a third Ti layer disposed over the Cu layer and a second barrier layer disposed over the third Ti layer. The first and second barrier layers can include platinum (Pt) and/or palladium (Pd).
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: January 24, 2017
    Assignee: Skyworks Solutions, Inc.
    Inventor: Kezia Cheng
  • Patent number: 9536753
    Abstract: A packaged integrated circuit (IC) includes a substrate including a first substrate pad disposed on a first side of the substrate, an IC die disposed on the first side of the substrate, and a first insulating layer molded over the IC die and the substrate. The IC die includes a first die pad on a side of the die opposite from a side of the die adjacent to the first side of the substrate. The first insulating layer includes a first channel extending through the first insulating layer to the first substrate pad, a second channel extending through the first insulating layer to the first die pad, conductive paste filling the first channel and in contact with the first substrate pad, and conductive paste filling the second channel and in contact with the die pad.
    Type: Grant
    Filed: October 2, 2014
    Date of Patent: January 3, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Yohei Koto, Kazunori Hayata, Dan Okamoto
  • Patent number: 9514981
    Abstract: An interconnect structure includes a dielectric layer with one or more trenches extending therein, one or more interconnect lines, and one or more first liner layers. Each interconnect line is positioned within a trench. At least one first liner layer is affixed between the trench bottom surface and the interconnect bottom surface. The interconnect structure further includes one or more second liner layers. At least one of the second liner layers is affixed directly to the interconnect top surface and at least one interconnect side surface. The interconnect structure further includes at least one air gap. Each air gap is positioned between the trench side surface and the interconnect side surface. A corresponding method of manufacture and product of a method of manufacture are also disclosed.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: December 6, 2016
    Assignee: International Business Machines Corporation
    Inventors: Dinesh A. Badami, Baozhen Li, Wen Liu, Chih-Chao Yang
  • Patent number: 9514938
    Abstract: According to one embodiment, a method of forming a pattern includes applying a polymer material having a first segment and a second segment in openings formed in a guide, heating the polymer material to achieve microphase separation of the polymer material to form a self-assembled pattern which includes a first polymer portion having a cylindrical shape which includes the first segment, and a second polymer portion including the second segment and surrounding a lateral portion of the first polymer portion, and selectively removing the first polymer portion. A molecular weight ratio of the first segment to the second segment in the polymer material is approximately 4:6.
    Type: Grant
    Filed: February 14, 2014
    Date of Patent: December 6, 2016
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hironobu Sato
  • Patent number: 9514979
    Abstract: A method includes forming a mandrel layer over a target layer, and etching the mandrel layer to form mandrels. The mandrels have top widths greater than respective bottom widths, and the mandrels define a first opening in the mandrel layer. The first opening has an I-shape and includes two parallel portions and a connecting portion interconnecting the two parallel portions. Spacers are formed on sidewalls of the first opening. The spacers fill the connecting portion, wherein a center portion of each of the two parallel portions is unfilled by the spacers. Portions of the first opening that are unfilled by the spacers are extended into the target layer.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: December 6, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung-Min Huang, Chung-Ju Lee, Yung-Hsu Wu
  • Patent number: 9472499
    Abstract: Self-aligned pitch split techniques for metal wiring involving a hybrid (subtractive patterning/damascene) metallization approach are provided. In one aspect, a method for forming a metal wiring layer on a wafer includes the following steps. A copper layer is formed on the wafer. A patterned hardmask is formed on the copper layer. The copper layer is subtractively patterned using the patterned hardmask to form a plurality of first copper lines. Spacers are formed on opposite sides of the first copper lines. A planarizing dielectric material is deposited onto the wafer, filling spaces between the first copper lines. One or more trenches are etched in the planarizing dielectric material. The trenches are filled with copper to form a plurality of second copper lines that are self-aligned with the first copper lines. An electronic device is also provided.
    Type: Grant
    Filed: August 21, 2013
    Date of Patent: October 18, 2016
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Michael A. Guillorn, Eric A. Joseph, Hiroyuki Miyazoe
  • Patent number: 9472495
    Abstract: Possible to form an opening having a sufficient opening diameter in a region sandwiched between a pair of bit lines and thereby provide a semiconductor device in which a high-quality contact using the opening is formed. The semiconductor device includes a first conductive layer, a first interlayer insulating film, a bit line, a first insulating film, a second interlayer insulating film, and a second conductive layer. The first insulating film that covers a side surface of the bit line has a portion perpendicular to a main surface of a semiconductor substrate in a region lower than a position lower than an uppermost portion of the first insulating film by a thickness, in a direction along the main surface of the semiconductor substrate, of the first insulating film that covers the side surface of the bit line at a lowermost portion of the bit line.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: October 18, 2016
    Assignee: Renesas Electronics Corporation
    Inventor: Yukio Maki
  • Patent number: 9472506
    Abstract: Methods of forming a registration mark such as an alignment mark or overlay mark during formation of sub-lithographic structures are provided. Methods may include forming a plurality of mandrels over a hard mask over a semiconductor layer, each mandrel including a spacer adjacent thereto. At least one mandrel is selected of the plurality of mandrels and a mask is formed over the at least one selected mandrel. The plurality of mandrels are removed leaving the spacers, the mask preventing removal of the at least one selected mandrel. The mask is removed. A first etching patterns the sub-lithographic structures and the registration mark into the hard mask using the spacers as a pattern of the sub-lithographic structure and the at least one selected mandrel and adjacent spacer for the registration mark.
    Type: Grant
    Filed: February 25, 2015
    Date of Patent: October 18, 2016
    Assignee: International Business Machines Corporation
    Inventors: David J. Conklin, Allen H. Gabor, Sivananda K. Kanakasabapathy, Byeong Y. Kim, Fee Li Lie, Stuart A. Sieg
  • Patent number: 9466579
    Abstract: The present application relates to a reinforcing structure for reinforcing a stack of layers in a semiconductor component, wherein at least one reinforcing element having at least one integrated anchor-like part, is provided. The basic idea is to reinforce bond pad structures by providing a better mechanical connection between the layers below an advanced underbump metallization (BUMA, UBM) by providing reinforcing elements under the UBM and/or BUMA layer.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: October 11, 2016
    Assignee: NXP B.V.
    Inventors: Hendrik Pieter Hochstenbach, Willem Dirk Van Driel
  • Patent number: 9449928
    Abstract: A layer arrangement in accordance with various embodiments may include: a wafer; a passivation disposed over the wafer; a protection layer disposed over at least a surface of the passivation facing away from the wafer; and a mask layer disposed over at least a surface of the protection layer facing away from the wafer, wherein the protection layer includes a material that is selectively etchable to a material of the passivation, and wherein the mask layer includes a material that is selectively etchable to the material of the protection layer.
    Type: Grant
    Filed: April 15, 2014
    Date of Patent: September 20, 2016
    Assignee: Infineon Technologies AG
    Inventors: Joachim Hirschler, Gudrun Stranzl
  • Patent number: 9437638
    Abstract: There is disclosed a substrate including at least one photodetector, the photodetector having a first active area on a first surface of the substrate and a second active area on a second surface of the substrate, wherein the photodetector is provided with a conductive via electrically isolated from the substrate, said conductive via extending through the photodetector from the first surface of the substrate to the second surface of the substrate for connecting the first active area to the second surface of the substrate, the second surface providing electrical connections for the first and second active areas of the photodetector.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: September 6, 2016
    Assignee: Detection Technology Oy
    Inventors: Fan Ji, Mikko Juntunen, Iiro Hietanen
  • Patent number: 9437443
    Abstract: A SIT method includes the following steps. An SIT mandrel material is deposited onto a substrate and formed into a plurality of SIT mandrels. A spacer material is conformally deposited onto the substrate covering a top and sides of each of the SIT mandrels. Atomic Layer Deposition (ALD) is used to deposit the SIT spacer at low temperatures. The spacer material is selected from the group including a metal, a metal oxide, a metal nitride and combinations including at least one of the foregoing materials. The spacer material is removed from all but the sides of each of the SIT mandrels to form SIT sidewall spacers on the sides of each of the SIT mandrels. The SIT mandrels are removed selective to the SIT sidewall spacers revealing a pattern of the SIT sidewall spacers. The pattern of the SIT sidewall spacers is transferred to the underlying stack or substrate.
    Type: Grant
    Filed: June 12, 2013
    Date of Patent: September 6, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Markus Brink, Michael A. Guillorn, Sebastian U. Engelmann, Hiroyuki Miyazoe, Adam M. Pyzyna, Jeffrey W. Sleight
  • Patent number: 9428835
    Abstract: A present invention provide a technique for easily forming a high-quality cobalt base film, which have a small specific resistance. The present invention comprises a transportation process of a Co[i-C3H7NC(C2H5)N-i-C3H7]2, and a film formation process by decomposition of the Co[i-C3H7NC(C2H5)N-i-C3H7]2. The film formation process comprises at least a first film formation process and a second film formation process. In the first film formation process, a film formation chamber is supplied with at least NH3 and/or NH3 product compound, and is not virtually supplied with H2. In the second film formation process, the film formation chamber is supplied with at least NH3 and/or NH3 product compound, and H2. An internal pressure of the film formation chamber in the first film formation process is higher than an internal pressure of the film formation chamber in the second film formation process.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: August 30, 2016
    Assignees: GAS-PHASE GROWTH LTD., Tokyo Electron Limited
    Inventors: Hideaki Machida, Masato Ishikawa, Hiroshi Sudoh, Yumiko Kawano, Kazutoshi Iwai
  • Patent number: 9425097
    Abstract: A method of lithographically cutting a Mx line before the Mx line is lithographically defined by patterning and the resulting 2DSAV device are provided. Embodiments include forming an a-Si dummy metal layer over a SiO2 layer; forming a first softmask stack over the a-Si dummy metal layer; patterning a plurality of vias through the first softmask stack down to the SiO2 layer; removing the first soft mask stack; forming first and second etch stop layers over the a-Si dummy metal layer, the first etch stop layer formed in the plurality of vias; forming a-Si mandrels on the second etch stop layer; forming oxide spacers on opposite sides of each a-Si mandrel; removing the a-Si mandrels; forming a-Si dummy metal lines in the a-Si dummy metal layer below the oxide spacers; and forming a SiOC layer between the a-Si dummy metal lines.
    Type: Grant
    Filed: April 29, 2015
    Date of Patent: August 23, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Guillaume Bouche, Andy Wei, Sudharshanan Raghunathan
  • Patent number: 9418968
    Abstract: A semiconductor chip 10 flip-chip mounted on a first surface 32 of a wiring substrate 30, a semiconductor chip 20 flip-chip mounted on a second surface 33 of the wiring substrate 30, a sealing resin 71 covering the semiconductor chip 10, a sealing resin 72 covering the semiconductor chip 20, a plurality of conductive posts provided to penetrate through the sealing resin 72, and a plurality of solder balls mounted on second ends of the plurality of conductive posts exposed from the sealing resin 72 are provided; and the mounting directions of the semiconductor chips 10 and 20 are mutually different by 90°. Both of the planar shapes of the semiconductor chips 10 and 20 are rectangular shapes, the semiconductor chip 10 is mounted so that the long sides thereof are parallel to the long sides of the wiring substrate 30, and the semiconductor chip 20 is mounted so that the long sides thereof are perpendicular to the long sides of the wiring substrate 30.
    Type: Grant
    Filed: March 25, 2015
    Date of Patent: August 16, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Sensho Usami, Koji Hosokawa
  • Patent number: 9412648
    Abstract: A method includes forming a dielectric layer, forming a photo resist over the dielectric layer, forming a first mask layer over the photo resist, and forming a second mask layer over the first mask layer. A first-photo-first-etching is performed to form a first via pattern in the second mask layer, wherein the first-photo-first-etching stops on a top surface of the first mask layer. A second-photo-second-etching is performed to form a second via pattern in the second mask layer, wherein the second-photo-second-etching stops on the top surface of the first mask layer. The first mask layer is etched using the second mask layer as an etching mask. The photo resist and the dielectric layer are etched to simultaneously transfer the first via pattern and the second via pattern into the dielectric layer.
    Type: Grant
    Filed: January 11, 2016
    Date of Patent: August 9, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jung-Hau Shiu, Chung-Chi Ko, Tze-Liang Lee, Wen-Kuo Hsieh, Yu-Yun Peng
  • Patent number: 9396988
    Abstract: A method for fabricating a semiconductor device includes sequentially forming an interlayer insulating layer and a hard mask pattern including a first opening on a substrate including a lower pattern, forming a trench exposing the lower pattern in the interlayer insulating layer using the hard mask pattern, forming a liner layer including a first part formed along sidewalls and a bottom surface of the trench and a second part formed along a top surface of the hard mask pattern, forming a sacrificial pattern exposing the second part of the liner layer in the trench, removing the second part of the liner layer and the hard mask pattern using the sacrificial pattern, and after the removing of the hard mask pattern, removing the sacrificial pattern to expose the first part of the liner layer.
    Type: Grant
    Filed: May 4, 2015
    Date of Patent: July 19, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Woo Lee, Woo-Jin Lee, Jong-Sam Kim, Woo-Kyung You, Young-Sang Lee, Min Huh
  • Patent number: 9385068
    Abstract: A method is provided of forming an interconnect structure. The method comprises forming a first dielectric layer overlying a first conductive layer, etching a trench opening in the first dielectric layer, depositing a sacrificial material layer in the trench opening, and forming a second conductive layer overlying the sacrificial layer. The method also comprises forming a via to the sacrificial layer, and performing an etch to remove the sacrificial material layer through the via and leave a resultant air gap between the first conductive layer and the second conductive layer decreasing the effective dielectric constant between the first and second conductive layers.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: July 5, 2016
    Assignee: Northrop Grumman Systems Corporation
    Inventor: Thomas J. Knight
  • Patent number: 9383404
    Abstract: A high resistivity substrate final resistance test structure, methods of manufacture and testing processes are disclosed. The test structure includes spaced apart implants extending into a high resistivity wafer in at least one kerf region of the wafer. The test structure further includes contacts in direct electrical contact to each of the spaced apart implants.
    Type: Grant
    Filed: December 5, 2014
    Date of Patent: July 5, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jeffrey P. Gambino, Eric D. Johnson, Ian A. McCallum-Cook, Richard A. Phelps, Anthony K. Stamper, Michael J. Zierak
  • Patent number: 9379001
    Abstract: A semiconductor device includes line patterns disposed on a substrate, the line patterns extending in a first direction and being parallel to one another. The semiconductor device includes conductive patterns spaced apart from each other in the first direction between an adjacent pair of the line patterns. The semiconductor device includes insulating fences electrically isolating the conductive patterns from each other and having chamfered corners. The semiconductor device includes insulating patterns filling gaps between side surfaces of the line patterns and the chamfered corners of the insulating fences.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: June 28, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yonggyu Choi, Dong-hyun Kim, Yongchul Oh, Kichul Nam
  • Patent number: 9362135
    Abstract: A semiconductor device manufacturing method, the method including: forming an insulation layer having a protruding portion, the insulation layer having a surface and a rising surface that protrudes upward from the surface, on a semiconductor substrate; forming a conductive layer to cover the insulation layer having the protruding portion; and removing a predetermined region of the conductive layer by patterning the predetermined region according to an etching process using microwave plasma, which uses a microwave as a plasma source, while applying bias power of 70 mW/cm2 or above on the semiconductor substrate, under a high pressure condition of 85 mTorr or above.
    Type: Grant
    Filed: May 1, 2015
    Date of Patent: June 7, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tetsuya Nishizuka, Masahiko Takahashi
  • Patent number: 9358753
    Abstract: Substrates and methods of forming a pattern on a substrate. The pattern includes a repeating pattern region and a pattern-interrupting region adjacent to the repeating pattern region. A mask is formed on the substrate, with the mask including the repeating pattern region and the pattern-interrupting region and which are formed using two separate masking steps. The mask is used in forming the pattern into underlying substrate material on which the mask is received. Substrates comprising masks are also disclosed.
    Type: Grant
    Filed: July 1, 2015
    Date of Patent: June 7, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Vishal Sipani, David A. Kewley, Kyle Armstrong, Michael Dean Van Patten, Michael D. Hyatt