Including Organic Insulating Material Between Metal Levels Patents (Class 438/623)
  • Patent number: 7852189
    Abstract: A spiral inductor is disposed above a substrate that includes two different materials. A dielectric film is the first material that provides structural integrity for the substrate. A second dielectric is the second material that provides a low dielectric-constant (low-K) material closest to the spiral inductor coil. A process of forming the spiral inductor includes patterning the substrate to allow a recess as a receptacle for the second dielectric, followed by forming the spiral inductor mostly above the second dielectric.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: December 14, 2010
    Assignee: Intel Corporation
    Inventors: Jiangqi He, Robert L. Sankman, BaoShu Xu, Xiang Yin Zeng
  • Patent number: 7851265
    Abstract: A semiconductor device is provided comprising: a semiconductor element including a plurality of electrodes; first wirings coupled to the electrodes and directed toward a center of the semiconductor element from a portion coupled to the electrodes; second wirings coupled between the first wirings and external terminals, the second wirings being directed to an outer area of the semiconductor element relative to the center; and at least one resin layer formed between the first wirings and the second wirings.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: December 14, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Haruki Ito
  • Patent number: 7847368
    Abstract: This disclosure describes system(s) and/or method(s) enabling contacts for individual nanometer-scale-thickness layers of a multilayer film.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: December 7, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Paval Kornilovich, Peter Mardilovich, Sriram Ramamoorthi
  • Patent number: 7842602
    Abstract: In a semiconductor device, an insulating interlayer having a groove is formed on an insulating underlayer. A silicon-diffused metal layer including no metal silicide is buried in the groove. A metal diffusion barrier layer is formed on the silicon-diffused metal layer and the insulating interlayer.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: November 30, 2010
    Assignee: Renesas Electronics Corporation
    Inventors: Koichi Ohto, Toshiyuki Takewaki, Tatsuya Usami, Nobuyuki Yamanishi
  • Patent number: 7842601
    Abstract: A method of forming a small pitch pattern using double spacers is provided. A material layer and first hard masks are used and characterized by a line pattern having a smaller line width than a separation distance between adjacent mask elements. A first spacer layer covering sidewall portions of the first hard mask and a second spacer layer are formed, and spacer-etched, thereby forming a spacer pattern-shaped second hard mask on sidewall portions of the first hard mask. A portion of the first spacer layer between the first hard mask and the second hard mask is selectively removed. The material layer is selectively etched using the first and second hard masks as etch masks, thereby forming the small pitch pattern.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: November 30, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-young Lee, Joon-soo Park, Sang-gyun Woo
  • Patent number: 7838440
    Abstract: The present invention related to a method for manufacturing a semiconductor device. More particularly, this method describes how to manufacture a semiconductor device having a porous, low dielectric constant layer formed between metal lines, comprising an insulation layer enveloping fillers.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: November 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chang Soo Park
  • Patent number: 7834458
    Abstract: A cap layer for a copper interconnect structure formed in a first dielectric layer is provided. In an embodiment, the cap layer may be formed by an in-situ deposition process in which a process gas comprising germanium, arsenic, tungsten, or gallium is introduced, thereby forming a copper-metal cap layer. In another embodiment, a copper-metal silicide cap is provided. In this embodiment, silane is introduced before, during, or after a process gas is introduced, the process gas comprising germanium, arsenic, tungsten, or gallium. Thereafter, an optional etch stop layer may be formed, and a second dielectric layer may be formed over the etch stop layer or the first dielectric layer.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: November 16, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Hung Chun Tsai, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 7830013
    Abstract: The present invention aims at providing: a material for forming an adhesion reinforcing layer which can reinforce the adhesion between a low dielectric constant film, especially a low dielectric constant film containing an inorganic material, and other members; an adhesion reinforcing layer formed by the said material and exhibits superior adhesion; a fast and highly reliable semiconductor device having the adhesion reinforcing layer; and a manufacturing method thereof. The material for forming an adhesion reinforcing layer contains at least any one of organoalkoxysilane having a basic functional group, a basic additive and organoalkoxysilane. The adhesion reinforcing layer is formed by the said material. The manufacturing method of a semiconductor device includes a process for forming a low dielectric constant film and, at least before or after the process for forming a low dielectric constant film, a process for forming an adhesion reinforcing layer with the said material.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: November 9, 2010
    Assignee: Fujitsu Limited
    Inventors: Junichi Kon, Ei Yano, Yoshihiro Nakata, Tadahiro Imada
  • Patent number: 7827681
    Abstract: There are provided the steps of mounting a semiconductor chip on a first substrate, providing an underfill resin between the semiconductor chip and the first substrate, forming a through hole on a second substrate, providing an electrode on the second substrate, bonding the first and second substrates to include the semiconductor chip through the electrode, and filling a sealing resin between the first and second substrates at a filling pressure capable of correcting a warpage generated on the semiconductor chip and the first substrate while discharging air from the through hole.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: November 9, 2010
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Nobuyuki Kurashima, Tadashi Arai, Hajime Iizuka
  • Patent number: 7825042
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally liable groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: November 2, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Robert P. Mandal
  • Patent number: 7825403
    Abstract: A circuit board includes: a substrate; source and drain electrodes formed on the substrate; an organic semiconductor layer formed on the source and drain electrodes; a gate insulating layer formed on the organic semiconductor layer; and a gate electrode formed on the gate insulating layer, wherein: the substrate includes a first part, a second part, and a third part interposed between the first and second parts and a thickness of the first part or a thickness of the second part is greater than that of the third part; the source electrode is formed on the first part; the drain electrode is formed on the second part; a part of the organic semiconductor layer is formed on the third part; and a thickness of the gate insulating layer disposed on the first and second parts is smaller than that of the gate insulating layer disposed on the third part.
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: November 2, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Takashi Aoki
  • Patent number: 7825023
    Abstract: This invention relates to a process for manufacturing interconnection structures, including: a) the formation on a substrate of a first layer comprising one or several conducting zones (24) and one or several insulating zones made of an organic material (26), b) coverage of this first layer by a porous layer (28), c) consumption and elimination of at least part of the organic material through the porous layer, using enzymes and/or proteins.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: November 2, 2010
    Assignee: Commissariat a L'Energie Atomique
    Inventor: Didier Louis
  • Patent number: 7825022
    Abstract: An electronic package includes a substrate (110, 310, 510) and a solder resist layer (120, 320, 520) over the substrate. The solder resist layer has a plurality of solder resist openings (121, 321, 521) therein. The electronic package further includes a finish layer (130, 330, 535) in the solder resist openings, an electrically conducting layer (140, 440) in the solder resist openings over the finish layer, and a solder material (150, 810) in the solder resist openings over the electrically conducting layer. The electrically conducting layer electrically connects the solder resist openings in order to enable the electrokinetic deposition of the solder material.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: November 2, 2010
    Assignee: Intel Corporation
    Inventors: Ravi Nalla, Charavana Gurumurthy
  • Patent number: 7820544
    Abstract: A method for forming a metal wiring of a semiconductor device, includes forming a first metal layer on a wafer, partially etching a portion of the first metal layer where a metal wiring is to be formed, sequentially forming a first copper barrier layer, a copper seed layer, and a copper layer on the first metal layer, annealing the copper layer, polishing the resulting structure until the first metal layer is exposed, patterning the first metal layer and the first copper barrier layer to form a portion of a metal wiring, forming a second copper barrier layer, forming a second metal layer, and patterning the second metal layer and the second copper barrier layer to form the metal wiring.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: October 26, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Seung Hyun Kim
  • Patent number: 7820477
    Abstract: An organic TFT array substrate and a fabricating method thereof are disclosed. In the organic TFT array substrate, a data line is disposed on a substrate and a gate line crosses the data line. A source electrode is connected to the data line. A drain electrode is disposed a predetermined distance from the source electrode. An organic semiconductor layer forms a channel between the source electrode and the drain electrode. An organic gate insulating film is disposed on the organic semiconductor layer with the same pattern as the organic semiconductor layer. A gate electrode overlies the organic semiconductor layer on the organic gate insulating film. A gate photo-resist pattern disposed on the gate electrode is used to form the gate electrode. A pixel electrode is connected to the drain electrode.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: October 26, 2010
    Assignee: LG. Display Co., Ltd.
    Inventors: Hyun Sik Seo, Nack Bong Choi
  • Patent number: 7816721
    Abstract: The invention provides a semiconductor device which is non-volatile, easily manufactured, and can be additionally written. A semiconductor device of the invention includes a plurality of transistors, a conductive layer which functions as a source wiring or a drain wiring of the transistors, and a memory element which overlaps one of the plurality of transistors, and a conductive layer which functions as an antenna. The memory element includes a first conductive layer, an organic compound layer and a phase change layer, and a second conductive layer stacked in this order. The conductive layer which functions as an antenna and a conductive layer which functions as a source wiring or a drain wiring of the plurality of transistors are provided on the same layer.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: October 19, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hiroko Abe, Yukie Nemoto, Ryoji Nomura, Mikio Yukawa
  • Patent number: 7811852
    Abstract: An organic semiconductor device with a vertical structure having both functions of an organic thin film transistor and light-emitting element, where the electrical characteristics as both the organic thin film transistor and light-emitting element can be controlled in the case of forming a gate electrode with an organic conductive film, and a manufacturing method thereof. The above organic semiconductor device has such a structure that organic semiconductor films are sandwiched between a pair of electrodes functioning as a source electrode and drain electrode of an organic thin film transistor and also functioning as an anode and cathode of a light-emitting element, a thin organic conductive film functioning as a gate electrode is sandwiched between the organic semiconductor films, and a part of the organic conductive film is electrically connected to an auxiliary electrode, thereby the electrical characteristics as both the organic thin film transistor and light-emitting element can be controlled.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: October 12, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yoshiaki Yamamoto, Takahito Oyamada, Chihaya Adachi
  • Patent number: 7807219
    Abstract: A process of repairing a plasma etched low-k dielectric material having surface-bound silanol groups includes exposing at least one surface of the dielectric material to (a) a catalyst so as to form hydrogen bonds between the catalyst and the surface-bound silanol groups obtaining a catalytic intermediary that reacts with the silane capping agent so as to form surface-bound silane compounds, or (b) a solution comprising a supercritical solvent, a catalyst, and a silane capping agent so as to form hydrogen bonds between a catalyst and the surface-bound silanol groups obtaining a catalytic intermediary that reacts with the silane capping agent so as to form surface-bound silane compounds. Horizontal networks can be formed between adjacent surface-bound silane compounds.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: October 5, 2010
    Assignee: Lam Research Corporation
    Inventor: James DeYoung
  • Patent number: 7803705
    Abstract: A dielectric film (91) made of CF is deposited on a substrate. A protective layer comprising an SiCN film (93) is formed on the dielectric film (91). A film (94) serving as a hardmask made of SiCO is deposited on the protective layer by a plasma containing active species of silicon, carbon, and oxygen. When the protective layer is formed, an SiC film (92) is deposited on the dielectric film (91) by a plasma containing active species of silicon and carbon, and thereafter the SiCN film (93) is deposited on the SiC film (92) by a plasma containing active species of silicon, carbon, and nitrogen.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: September 28, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Kenichi Nishizawa, Takatoshi Kameshima, Takaaki Matsuoka
  • Patent number: 7799673
    Abstract: A semiconductor device manufacturing method includes: forming a via pattern in an insulating film by use of an alignment mark of a lower wiring line; forming, by use of an alignment mark of the via pattern, an upper wiring groove pattern in an upper insulating film in which the via pattern is embedded; and repeating etching in a self-aligning manner to form a via and a wiring groove in an insulating film previously stacked under the insulating film in which the via pattern has been formed.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: September 21, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shinya Arai, Akihiro Kojima
  • Patent number: 7795136
    Abstract: A metal wiring of a semiconductor device and a forming method thereof are provided. A dielectric layer is formed on a semiconductor substrate including a lower metal wiring. A SOG (spin on glass) coating layer is formed on the dielectric layer to inhibit material from another layer from infiltrating into the dielectric layer.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: September 14, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Kyung Min Park
  • Patent number: 7796228
    Abstract: A display substrate includes a base substrate having a display area and a peripheral area which surrounds the display area, a pixel electrode formed on the display area, a pad part formed on the peripheral area, an adhesion part formed on the peripheral area and having a plurality of holes formed in an area adjacent to the pad part on the peripheral area and a conductive adhesion member formed on the pad part and the adhesion part to make electrical contact with the pad part and a terminal of an integrated circuit.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: September 14, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Young Kim, Kwan-Wook Jung, Seung-Gyu Tae
  • Patent number: 7795133
    Abstract: By covering inner surfaces of a wiring groove 26c and a via hole 27a with a fourth insulation film 25 containing porogen during a manufacturing process of a semiconductor device, an increase in the relative permittivity of the fourth insulation film 25 that is a low-permittivity film on the inner surfaces of the wiring groove 26c and the via hole 27a can be suppressed in a manufacturing process of a semiconductor device such as a barrier metal sputtering process.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: September 14, 2010
    Assignee: Panasonic Corporation
    Inventor: Kotaro Nomura
  • Patent number: 7795129
    Abstract: A semiconductor device is provided with a plurality of protrusions which are made of a resin and which protrude higher than electrodes, and conductive layers which are electrically connected to the electrodes and which cover the top surfaces of the protrusions. A method for manufacturing the semiconductor device includes a step of applying a layer of the resin to the semiconductor device except for the electrodes, a step of patterning the conductive layers on the electrodes and the layer of the resin in accordance with the protrusions, and a step of removing the layer of the resin located between the conductive layers by the use of the patterned conductive layers as masks so as to form the protrusions.
    Type: Grant
    Filed: July 10, 2006
    Date of Patent: September 14, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Haruki Ito
  • Patent number: 7781332
    Abstract: Plasma damage in ultra low k dielectric materials during formation of a dual damascene metal interconnect structure is reduced by providing a protective spacer on sidewalls of a line trench. A densified trench bottom region may be additionally formed directly beneath an exposed horizontal surface of the line trench. The protective spacer and/or the densified trench bottom region protects an ultra low k intermetal dielectric layer from plasma damage during a plasma strip process that is used to remove a disposable via fill plug employed in the dual damascene metal interconnect structure.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: August 24, 2010
    Assignee: International Business Machines Corporation
    Inventors: John C. Arnold, Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga K. Shobha, Terry A. Spooner
  • Patent number: 7781339
    Abstract: A method of fabricating semiconductor interconnections is provided which can form a Ti-rich layer as a barrier layer and which can embed pure Cu material as interconnection material into every corner of grooves provided in an insulating film even when the grooves have a narrow minimum width and are deep. The method may include the steps of forming one or more grooves in an insulating film on a semiconductor substrate, the recess having a minimum width of 0.15 ?m or less and a ratio of a depth of the groove to the minimum width thereof (depth/minimum width) of 1 or more, forming a Cu alloy thin film containing 0.5 to 10 atomic % of Ti in the groove of the insulated film along a shape of the groove in a thickness of 10 to 50 nm, forming a pure Cu thin film in the groove with the Cu alloy thin film attached thereto, and annealing the substrate with the films at 350° C. or more to allow the Ti to be precipitated between the insulating film and the Cu alloy thin film.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: August 24, 2010
    Assignee: Kobe Steel, Ltd.
    Inventors: Takashi Onishi, Mikako Takeda, Masao Mizuno, Susumu Tsukimoto, Tatsuya Kabe, Toshifumi Morita, Miki Moriyama, Kazuhiro Ito, Masanori Murakami
  • Patent number: 7776736
    Abstract: Disclosed are a substrate for electronic devices such as semiconductor devices and a method for processing the same, In the processing method, firstly a substrate for electronic devices is prepared and an insulating film (I) composed of a fluorocarbon (CF) is formed on the surface of the substrate. Then, fluorine (F) atoms exposed in the surface of the insulating film (I) are removed therefrom by bombarding the surface of the insulating film (I) with, for example, active species (KR+) produced in a krypton (Kr) gas plasma. In this connection, the substrate is kept out of contact with moisture at least from immediately after the insulating film forming step until completion of the fluorine removing step.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: August 17, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Kohei Kawamura
  • Publication number: 20100200999
    Abstract: A semiconductor device having reliable electrode contacts. First, an interlayer dielectric film is formed from a resinous material. Then, window holes are formed. The interlayer dielectric film is recessed by oxygen plasma. This gives rise to tapering window holes. This makes it easy to make contacts even if the circuit pattern is complex.
    Type: Application
    Filed: April 21, 2010
    Publication date: August 12, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Takeshi FUKUNAGA
  • Patent number: 7772706
    Abstract: A spacer is adjacent to a conductive line. Vias that do not completely land on the conductive line land on the spacer and do not punch through into a volume below the spacer.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: August 10, 2010
    Assignee: Intel Corporation
    Inventors: Sridhar Balakrishnan, Boyan Boyanov
  • Patent number: 7745327
    Abstract: By appropriately designing a plurality of deposition steps and intermediate sputter processes, the formation of a barrier material within a via opening may be accomplished on the basis of a highly efficient process strategy that readily integrates conductive cap layers formed above metal-containing regions into well-approved process sequences.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: June 29, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Michael Friedemann, Robert Seidel, Berit Freudenberg
  • Patent number: 7745252
    Abstract: It is an object of the present invention to manufacture, with high yield, a semiconductor device in which an element that has a layer containing an organic compound is provided over a flexible substrate. A method for manufacturing a semiconductor device includes: forming a separation layer over a substrate; forming an element-formed layer over the separation layer by forming an inorganic compound layer, a first conductive layer, and a layer containing an organic compound and forming a second conductive layer which is in contact with the layer containing an organic compound and the inorganic compound layer; and separating the separation layer and the element-formed layer from each other after pasting a first flexible substrate over the second conductive layer.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: June 29, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tsunenori Suzuki, Ryoji Nomura, Mikio Yukawa, Nobuharu Ohsawa, Tamae Takano, Yoshinobu Asami, Takehisa Sato
  • Patent number: 7745325
    Abstract: A wiring structure of a semiconductor device may include an insulation interlayer on a substrate, the insulation interlayer having a linear first trench having a first width and a linear second trench having a second width, the linear second trench being in communication with a lower portion of the linear first trench, the first width being wider than the second width, and a conductive layer pattern in the linear first and second trenches.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: June 29, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Koh, Byung-Hong Chung, Won-Jin Kim, Hyun Park, Ji-Young Min
  • Patent number: 7745323
    Abstract: Disclosed herein is a metal interconnection structure of a semiconductor device, comprising lower metal interconnection layers disposed on a semiconductor substrate, a buffer layer made of a metal oxide disposed thereon, an intermetallic dielectric layer made of a low-k material disposed on the buffer layer of the metal oxide, and an upper metal interconnection layer disposed on the intermetallic dielectric layer and electrically connected through the intermetallic dielectric layer and buffer layer to the lower metal interconnection layers.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: June 29, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong-Su Park, Su Ho Kim
  • Patent number: 7737023
    Abstract: In a process for the manufacture of a semiconductor integrated circuit device having an inlaid interconnect structure by embedding a conductor film in a recess, such as a trench or hole, formed in an organic insulating film which constitutes an interlevel dielectric film and includes an organosiloxane as a main component, the recess, such as a trench or hole, is formed by subjecting the organic insulating film to plasma dry etching in a CF-based gas/N2/Ar gas in order to suppress the formation of an abnormal shape on the bottom of the recess, upon formation of a photoresist film over the organic insulating film, followed by formation of the recess therein with the photoresist film as an etching mask.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: June 15, 2010
    Assignee: Renesas Technology Corporation
    Inventors: Shouochi Uno, Atsushi Maekawa, Takashi Yunogami, Kazutami Tago, Kazuo Nojiri, Shuntaro Machida, Takafumi Tokunaga
  • Patent number: 7732348
    Abstract: A porous dielectric element is produced by forming a first dielectric and a second dielectric. The second dielectric is dispersed in the first dielectric. The second dielectric is then removed from the second dielectric by using a chemical dissolution. The removal of the second dielectric from the first dielectric leaves pores in the first dielectric. The pores, which are filled with air, improves the overall dielectric constant of the resulting dielectric element.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: June 8, 2010
    Assignee: STMicroelectronics S.A.
    Inventors: Simon Jeannot, Laurent Favennec
  • Patent number: 7723226
    Abstract: A bilayer porous low dielectric constant (low-k) interconnect structure and methods of fabricating the same are presented. A preferred embodiment having an effective dielectric constant of about 2.2 comprises a bottom deposited dielectric layer and a top deposited dielectric layer in direct contact with the former. The bottom layer and the top layer have same atomic compositions, but a higher dielectric constant value k. The bottom dielectric layer serves as an etch stop layer for the top dielectric layer, and the top dielectric layer can act as CMP stop layer. One embodiment of making the structure includes forming a bottom dielectric layer having a first porogen content and a top dielectric layer having a higher porogen content. A curing process leaves lower pore density in the bottom dielectric layer than that left in the top dielectric layer, which leads to higher dielectric value k in the bottom dielectric layer.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: May 25, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Yung-Cheng Lu, Pei-Ren Jeng, Chia-Cheng Chou, Keng-Chu Lin, Chung-Chi Ko, Tien-I Bao, Shwang-Ming Jeng
  • Patent number: 7723227
    Abstract: A method of forming copper-comprising conductive lines in the fabrication of integrated circuitry includes depositing damascene material over a substrate. Line trenches are formed into the damascene material. Copper-comprising material is electrochemically deposited over the damascene material. The copper-comprising material is removed and the damascene material is exposed, and individual copper-comprising conductive lines are formed within individual of the line trenches. The damascene material is removed selectively relative to the conductive copper-comprising material. Dielectric material is deposited laterally between adjacent of the individual copper-comprising conductive lines. The deposited dielectric material is received against sidewalls of the individual copper-comprising conductive lines. A void is received laterally between immediately adjacent of the individual copper-comprising conductive lines within the deposited dielectric material. Other embodiments are contemplated.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: May 25, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Zailong Bian
  • Patent number: 7713863
    Abstract: A method for manufacturing a dual damascene structure includes forming a wiring layer over a substrate, forming an inorganic insulating film over the wiring layer, forming a via hole in the inorganic insulating film using a first resist pattern with an opening as an etching mask, removing the first resist pattern, forming an organic insulating film on the inorganic insulating film and in the via hole, forming a hard mask on the organic insulating film, forming a hard mask pattern using a second resist pattern with an opening on the hard mask as an etching mask, forming a wiring groove by etching the organic insulating film using the second resist pattern and the hard mask pattern as etching masks until the organic insulating film inside the via hole is eliminated and simultaneously eliminating the second resist pattern, and implanting a conductive substance into the via hole and wiring groove.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: May 11, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Toyokazu Sakata
  • Patent number: 7709371
    Abstract: A method for restoring hydrophobicity to the surfaces of organosilicate glass dielectric films which have been subjected to an etchant or ashing treatment. These films are used as insulating materials in the manufacture of integrated circuits to ensure low and stable dielectric properties in these films. The method deters the formation of stress-induced voids in these films. An organosilicate glass dielectric film is patterned to form vias and trenches by subjecting it to an etchant or ashing reagent in such a way as to remove at least a portion of previously existing carbon containing moieties and reduce hydrophobicity of said organosilicate glass dielectric film. The vias and trenches are thereafter filled with a metal and subjected to an annealing treatment.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: May 4, 2010
    Assignee: Honeywell International Inc.
    Inventors: Anil S. Bhanap, Teresa A. Ramos, Nancy Iwamoto, Roger Y. Leung, Ananth Naman
  • Patent number: 7709298
    Abstract: A method for selectively altering a predetermined portion of an object or an external member in contact with the predetermined portion of the object is disclosed. The method includes selectively electrically addressing the predetermined portion, thereby locally resistive heating the predetermined portion, and exposing the object, including the predetermined portion, to the external member.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: May 4, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Zhiyong Li
  • Patent number: 7704872
    Abstract: Processes for sealing porous low k dielectric film generally comprises exposing the porous surface of the porous low k dielectric film to ultraviolet (UV) radiation at intensities, times, wavelengths and in an atmosphere effective to seal the porous dielectric surface by means of carbonization, oxidation, and/or film densification. The surface of the surface of the porous low k material is sealed to a depth less than or equal to about 20 nanometers, wherein the surface is substantially free of pores after the UV exposure.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: April 27, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: Carlo Waldfried, Orlando Escorcia, Ivan Berry
  • Patent number: 7700418
    Abstract: Disclosed herein is a method for production of a thin-film semiconductor device which includes, a first step to form a gate electrode on a substrate, a second step to form a gate insulating film of silicon oxynitride on the substrate in such a way as to cover the gate electrode, a third step to form a semiconductor thin film on the gate insulating film, and a fourth step to perform heat treatment in an oxygen-containing oxidizing atmosphere for modification through oxygen binding with oxygen-deficient parts in the silicon oxynitride film constituting the gate insulating film.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: April 20, 2010
    Assignee: Sony Corporation
    Inventor: Masafumi Kunii
  • Patent number: 7691704
    Abstract: A method for manufacturing a semiconductor device having a damascene metal/insulator/metal (MIM)-type capacitor and metal lines including providing a semiconductor device; sequentially forming a first interlayer insulating film and a second interlayer insulating film over the semiconductor substrate; simultaneously forming a vias hole and a lower metal line in a line region and a lower electrode in a capacitor region, wherein the lower metal line and the lower electrode are electrically connected to the semiconductor device; sequentially forming a dielectric film, a third interlayer insulating film, a fourth interlayer insulating film and a fifth interlayer insulating film over the semiconductor substrate; and then simultaneously forming a plurality of upper electrodes, a plurality of second vias holes and a plurality of second upper metal lines in the capacitor region electrically connected to the plurality of upper electrodes, a plurality of third vias holes and a plurality of second upper metal lines in th
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: April 6, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Seon-Heui Kim
  • Patent number: 7682977
    Abstract: This invention includes methods of forming trench isolation. In one implementation, isolation trenches are provided within a semiconductor substrate. A liquid is deposited and solidified within the isolation trenches to form a solidified dielectric within the isolation trenches. The dielectric comprises carbon and silicon, and can be considered as having an elevationally outer portion and an elevationally inner portion within the isolation trenches. At least one of carbon removal from and/or oxidation of the outer portion of the solidified dielectric occurs. After such, the dielectric outer portion is etched selective to and effective to expose the dielectric inner portion. After the etching, dielectric material is deposited over the dielectric inner portion to within the isolation trenches.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: March 23, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Patent number: 7674705
    Abstract: A method of forming a semiconductor device. A first wiring level is formed on a top surface of a substrate. The first wiring level includes alternating layers of a first dielectric material and a second dielectric material. The layers of the first dielectric material includes at least two layers of the first dielectric material. The layers of the second dielectric material includes at least two layers of the second dielectric material. The first dielectric material includes an organic dielectric material. The second dielectric material includes an inorganic dielectric material. The substrate includes one or more dielectric materials. A first layer of the layers of the first dielectric material includes the organic dielectric material being in direct mechanical contact with the substrate. The layers of the first dielectric material and the layers of the second dielectric material are a same number of layers.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: March 9, 2010
    Assignee: International Business Machines Corporation
    Inventor: Anthony K. Stamper
  • Publication number: 20100055896
    Abstract: It is an object of the present invention to provide a volatile organic memory in which data can be written other than during manufacturing and falsification by rewriting can be prevented, and to provide a semiconductor device including such an organic memory. It is a feature of the invention that a semiconductor device includes a plurality of bit lines extending in a first direction; a plurality of word lines extending in a second direction different from the first direction; a memory cell array including a plurality of memory cells each provided at one of intersections of the bit lines and the word lines; and memory elements provided in the memory cells, wherein the memory elements include bit lines, an organic compound layer, and the word lines, and the organic compound layer includes a layer in which an inorganic compound and an organic compound are mixed.
    Type: Application
    Filed: November 13, 2009
    Publication date: March 4, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hiroko ABE, Mikio YUKAWA, Tamae TAKANO, Yoshinobu ASAMI, Kiyoshi KATO, Ryoji NOMURA, Yoshitaka MORIYA
  • Patent number: 7666712
    Abstract: A semiconductor structure comprising a substrate including a first layer comprising a first material having a first modulus of elasticity; a first structure comprising a conductor and formed within the substrate, the first structure having an upper surface; and a stress diverting structure proximate the first structure and within the first layer, the stress diverting structure providing a low mechanical stress region at the upper surface of the first structure when a physical load is applied to the first structure, wherein said low mechanical stress region comprises stress values below the stress values in areas not protected by the stress diverting structure. The stress diverting structure comprises a second material having a second modulus of elasticity less than the first modulus of elasticity, the second material selectively formed over the upper surface of the first structure for diverting mechanical stress created by the physical load applied to the first structure.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: February 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Elie Awad, Mariette A. Awad, Kai D. Feng
  • Patent number: 7646098
    Abstract: A multilayered circuitized substrate including a plurality of dielectric layers each comprised of a p-aramid paper impregnated with a halogen-free, low moisture absorptivity resin including an inorganic filler but not including continuous or semi-continuous fiberglass fibers as part thereof, and a first circuitized layer positioned on a first of the dielectric layers. A method of making this substrate is also provided.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: January 12, 2010
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Robert M. Japp, Voya R. Markovich, Kostas I. Papathomas, Mark D. Poliks
  • Patent number: RE41369
    Abstract: A semiconductor device includes at least one semiconductor structure having a plurality of external connection portions on an upper surface, and an insulating member which is made of a resin containing reinforcing materials and arranged on a side of the semiconductor structure. An insulating film is formed on the upper surface of the semiconductor structure, except the external connection portions, and on an upper surface of the insulating member. A plurality of upper wirings each of which has a connection pad portion are located on an upper side of the insulating film and electrically connected to a corresponding one of the external connection portions of the semiconductor structure. The connection pad portion of at least one of the upper wirings is arranged above an upper surface of the insulating member.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: June 8, 2010
    Assignee: Casio Computer Co., Ltd.
    Inventor: Hiroyasu Jobetto
  • Patent number: RE41697
    Abstract: A method of forming a planarized photoresist coating on a substrate having holes with different duty ratios is described. A first photoresist preferably comprised of a Novolac resin and a diazonaphthoquinone photoactive compound is coated on a substrate and baked at or slightly above its Tg so that it reflows and fills the holes. The photoresist is exposed without a mask at a dose that allows the developer to thin the photoresist to a recessed depth within the holes. After the photoresist is hardened with a 250° C. bake, a second photoresist is coated on the substrate to form a planarized film with a thickness variation of less than 50 Angstroms between low and high duty ratio hole regions. One application is where the second photoresist is used to form a trench pattern in a via first dual damascene method. Secondly, the method is useful in fabricating MIM capacitors.
    Type: Grant
    Filed: September 26, 2005
    Date of Patent: September 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chia-Tung Ho, Feng-Jia Shih, Jieh-Jang Chen, Ching-Sen Kuo, Shih-Chi Fu, Gwo-Yuh Shiau, Chia-Shiung Tsai