Having Planarization Step Patents (Class 438/645)
  • Patent number: 7223685
    Abstract: The present application discloses process comprising providing a wafer, the wafer comprising an inter-layer dielectric (ILD) having a feature therein, an under-layer deposited on the ILD, and a barrier layer deposited on the under-layer, and a conductive layer deposited in the feature, placing the wafer in an electrolyte, such that at least the barrier layer is immersed in the electrolyte, and applying an electrical potential between the electrode and the wafer.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Patent number: 7214602
    Abstract: A method of forming a conductive structure is disclosed. The method includes forming an interconnect in a substrate, and forming a layer of iridium on the interconnect. The layer of iridium has a thickness of less than six hundred angstroms. The method further includes annealing the layer of iridium, forming a dielectric layer on the layer of iridium, and forming a conductive layer on the dielectric layer.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7189638
    Abstract: A method for manufacturing a metal structure using a trench includes etching a semiconductor substrate to form a trench, depositing a seed layer over the semiconductor substrate including in the trench, stacking an insulating layer over the seed layer, removing a portion of the insulating layer to expose a portion of the seed layer at a bottom of the trench, filling the trench with a metal material, and removing the seed layer and the insulating layer on the semiconductor substrate. As a result, a subsequent process in forming a multi-layered structure may be easily carried out, thereby simplifying a manufacturing process.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: March 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-sik Shim, Kyung-won Na, Sang-on Choi, Hae-seok Park
  • Patent number: 7172962
    Abstract: On a substrate are sequentially formed a first interconnection 203, a diffusion barrier film 205 and a second insulating film 207, and on the upper surface of the second insulating film 207 is then formed a sacrificial film 213. Next, a via hole 211 and an interconnection trench 217 are formed, and on the sacrificial film 213 are then formed a barrier metal film 219 and a copper film 221. CMP for removing the extraneous copper film 221 and barrier metal film 219 are conducted in a two-step process, i. e., the first polishing where polishing is stopped on the surface of the barrier metal film 219 and the second polishing where the remaining barrier metal film 219 and the tapered sacrificial film 213 are polished.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 6, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshio Okayama, Hayato Nakashima, Yoshinari Ichihashi
  • Patent number: 7172963
    Abstract: In the forming process of buried wirings by filling wiring trenches formed in an insulator with a conductive film mainly made of Cu, the buried wirings are formed to have a uniform-height regardless of the width and density of the wiring trenches. When polishing a barrier conductor film comprised of a Ta film in the CMP process for forming the buried wirings, the polishing agent, which controls the removal rate of the underlying insulator of a silicon oxide film relative to the barrier conductor film to almost one twentieth or less, is used as the slurry, and the pad which is made of polyurethane with a hardness of 75 degrees or more measured by the Type E durometer in conformity with the JIS K6253 and which is comprised of the foam including non-uniform pores with a diameter of about 150 ?m or larger and a density of about 0.4–0.16 g/cm3, is used as the polishing pad.
    Type: Grant
    Filed: June 9, 2004
    Date of Patent: February 6, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Yohei Yamada, Nobuhiro Konishi
  • Patent number: 7129160
    Abstract: A method and apparatus for simultaneously removing conductive materials from a microelectronic substrate. A method in accordance with one embodiment of the invention includes contacting a surface of a microelectronic substrate with an electrolytic liquid, the microelectronic substrate having first and second different conductive materials. The method can further include controlling a difference between a first open circuit potential of the first conducive material and a second open circuit potential of the second conductive material by selecting a pH of the electrolytic liquid. The method can further include simultaneously removing at least portions of the first and second conductive materials by passing a varying electrical signal through the electrolytic liquid and the conductive materials. Accordingly, the effects of galvanic interactions between the two conductive materials can be reduced and/or eliminated.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: October 31, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Dinesh Chopra
  • Patent number: 7125800
    Abstract: In the fabrication of integrated circuits, one specific technique for making surfaces flat is chemical-mechanical planarization. However, this technique is quite time consuming and expensive, particularly as applied to the numerous intermetal dielectric layers—the insulative layers sandwiched between layers of metal wiring—in integrated circuits. Accordingly, the inventor devised several methods for making nearly planar intermetal dielectric layers without the use of chemical-mechanical planarization and methods of modifying metal layout patterns to facilitate formation of dielectric layers with more uniform thickness. These methods of modifying metal layouts and making dielectric layers can be used in sequence to yield nearly planar intermetal dielectric layers with more uniform thickness.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: October 24, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 7104869
    Abstract: The invention generally provides methods and compositions for planarizing a substrate surface having underlying dielectric materials. Aspects of the invention provide compositions and methods using a combination of low polishing pressures, polishing compositions, various polishing speeds, selective polishing pads, and selective polishing temperatures, for removing barrier materials by a chemical mechanical polishing technique with minimal residues and minimal seam damage. Aspects of the invention are achieved by employing a strategic multi-step process including sequential CMP at low polishing pressure to remove the deposited barrier materials.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: September 12, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Stan Tsai, Rashid Mavliev, Lizhong Sun, Feng Q. Liu, Liang-Yuh Chen, Ratson Morad
  • Patent number: 7101727
    Abstract: A pixel cell is formed by locating a first passivation layer over the final layer of metal lines. Subsequently, the uneven, non-uniform passivation layer is subjected to a planarization process such as chemical mechanical polishing, mechanical abrasion, or etching. A spin-on-glass layer may be deposited over the non-uniform passivation layer prior to planarization. Once a uniform, flat first passivation layer is achieved over the final metal, a second passivation layer, a color filter array, or a lens forming layer with uniform thickness is formed over the first passivation layer. The passivation layers can be oxide, nitride, a combination of oxide and nitride, or other suitable materials. The color filter array layer may also undergo a planarization process prior to formation of the lens forming layer. The present invention is also applicable to other devices.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7091123
    Abstract: In a method of forming a metal wiring line, a first insulating film is formed directly or indirectly on a semiconductor substrate. A second insulating film is formed on the first insulating film. A wiring line groove is formed to pass through the second insulating film to an inside of the first insulating film. A conductive film is formed to fill the wiring line groove and to cover the second insulating film. The conductive film and the second insulating film are removed by a first CMP polishing process, using the first insulating film as a stopper film, until the first insulating film is exposed.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: August 15, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Takashi Tonegawa, Yasuaki Tsuchiya, Tomoko Inoue
  • Patent number: 7087517
    Abstract: A method includes forming a barrier layer on a substrate surface including at least one contact opening; forming an interconnect in the contact opening; and reducing the electrical conductivity of the barrier layer. A method including forming a barrier layer on a substrate surface including a dielectric layer and a contact opening, depositing a conductive material in the contact opening, removing the conductive material sufficient to expose the barrier layer on the substrate surface, and reducing the electrical conductivity of the barrier layer. An apparatus including a circuit substrate including at least one active layer including at least one contact point, a dielectric layer on the at least one active layer, a barrier layer on a surface of the dielectric layer, a portion of the barrier layer having been transformed from a first electrical conductivity to a second different and reduced electrical conductivity, and an interconnect coupled to the at least one contact point.
    Type: Grant
    Filed: December 24, 2003
    Date of Patent: August 8, 2006
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andreyushchenko, Kenneth Cadien, Paul Fischer, Valery M. Dubin
  • Patent number: 7087534
    Abstract: Methods for removing titanium-containing layers from a substrate surface where those titanium-containing layers are formed by chemical vapor deposition (CVD) techniques. Titanium-containing layers, such as titanium or titanium nitride, formed by CVD are removed from a substrate surface using a sulfuric acid (H2SO4) solution. The H2SO4 solution permits selective and uniform removal of the titanium-containing layers without detrimentally removing surrounding materials, such as silicon oxides and tungsten. Where the titanium-containing layers are applied to the sidewalls of a hole in the substrate surface and a plug material such as tungsten is used to fill the hole, subsequent spiking of the H2SO4 solution with hydrogen peroxide (H2O2) may be used to recess the titanium-containing layers and the plug material below the substrate surface.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: August 8, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Gary Chen
  • Patent number: 7084053
    Abstract: A method of forming and a device including an interconnect structure having a unidirectional electrical conductive material is described. The unidirectional conductive material may overlie interconnect materials, and/or may surround interconnect materials, such as by lining the walls and base of a trench and via. The unidirectional conductive material may be configured to conduct electricity in a direction corresponding to a projection to or from a contact point and conductive material overlying the unidirectional conductive material, but have no substantial electrical conductivity in other directions. Moreover, the unidirectional conductive material may be electrically conductive in a direction normal to a surface over which it is formed or in directions along or across a plane, but have no substantial electrical conductivity in other directions.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: August 1, 2006
    Assignee: Intel Corporation
    Inventors: Reza M. Golzarian, Robert P. Meagley, Seiichi Morimoto, Mansour Moinpour
  • Patent number: 7060606
    Abstract: Methods and apparatus for processing substrates to improve polishing uniformity, improve planarization, remove residual material and minimize defect formation are provided. In one aspect, a method is provided for processing a substrate having a conductive material and a low dielectric constant material disposed thereon including polishing a substrate at a polishing pressures of about 2 psi or less and at platen rotational speeds of about 200 cps or greater. The polishing process may use an abrasive-containing polishing composition having up to about 1 wt. % of abrasives. The polishing process may be integrated into a multi-step polishing process.
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: June 13, 2006
    Assignee: Applied Materials Inc.
    Inventors: Stan D. Tsai, Liang-Yuh Chen, Lizhong Sun, Shijian Li, Feng Q. Liu, Rashid Mavliev, Ratson Morad, Daniel A. Carl
  • Patent number: 7033911
    Abstract: A package includes a flexible substrate with a first region and a second region, an encapsulated die supported by the first region, and a conformable fold adhesive introduced between the encapsulated die and the flexible substrate. The second region of the flexible substrate is folded over the surface of the encapsulated die.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: April 25, 2006
    Assignee: Intel Corporation
    Inventors: Rahul N. Manepalli, Karen Y. Paghasian, Shinobu Kourakata, Ruel D R Aranda
  • Patent number: 6984581
    Abstract: Highly porous, low-k dielectric materials are mechanically reinforced to enable the use of these low-k materials as interlayer dielectrics in advanced integrated circuits such as those which incorporate highly porous ILD materials in a Cu damascene interconnect technology. An integrated circuit, embodying such a mechanically reinforced ILD generally includes a substrate having interconnected electrical elements therein, a first dielectric layer disposed over the substrate, a plurality of electrically insulating structures disposed on the first dielectric layer, and a second dielectric layer disposed on the first dielectric layer such that the second dielectric surrounds the plurality of structures.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: January 10, 2006
    Assignee: Intel Corporation
    Inventor: Lawrence D. Wong
  • Patent number: 6982226
    Abstract: The present invention provides a process for fabricating a contact plug in a semiconductor substrate having a contact opening formed therein that comprises depositing a barrier layer in the contact opening and on at least a portion of the semiconductor substrate, depositing a contact metal on the barrier layer within the contact opening, removing a substantial portion of the contact metal and the barrier layer from the semiconductor substrate and forming a contact plug within the contact opening, and subjecting the contact plug to a temperature sufficient to anneal the barrier layer.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: January 3, 2006
    Assignee: Agere Systems Inc.
    Inventors: Sailesh M. Merchant, Binh Nguyenphu, Minseok Oh
  • Patent number: 6979641
    Abstract: A dielectric is formed over a node location on a semiconductor substrate. The dielectric comprises an insulative material over the node location, an insulative polish stop layer over the insulative material, and an insulator layer over the insulative polish stop layer. A contact opening is formed into the insulator layer, the insulative polish stop layer and the insulative material to proximate the node location. A conductive material is deposited over the insulator layer and to within the contact opening. The conductive material and the insulator layer are polished to at least a portion of the insulative polish stop layer. In one implementation and prior to depositing the conductive material, at least a portion of the contact opening is widened with an etching chemistry that is selective to widen it within the insulative material to a degree greater than any widening of the contact opening within the insulative polish stop layer.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: December 27, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Michael J. Hermes
  • Patent number: 6974769
    Abstract: Conductive structures in features of an insulator layer on a substrate are fabricated by a particular process. In this process, a layer of conductive material is applied over the insulator layer so that the layer of conductive material covers field regions adjacent the features and fills in the features themselves. A grain size differential between the conductive material which covers the field regions and the conductive material which fills in the features is then established by annealing the layer of conductive material. Excess conductive material is then removed to uncover the field regions and leave the conductive structures. The layer of conductive material is applied so as to define a first layer thickness over the field regions and a second layer thickness in and over the features. These thicknesses are dimensioned such that d1?0.5d2, with d1 being the first layer thickness and d2 being the second layer thickness. Preferably, the first and second layer thicknesses are dimensioned such that d1?0.3d2.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: December 13, 2005
    Assignee: ASM NuTool, Inc.
    Inventors: Bulent Basol, Homayoun Talieh, Cyprian Uzoh
  • Patent number: 6964919
    Abstract: The present invention discloses a method including providing a substrate; forming a dielectric over the substrate, the dielectric having a k value of about 2.5 or lower, the dielectric having a Young's modulus of elasticity of about 15 GigaPascals or higher; forming an opening in the dielectric; and forming a conductor in the opening. The present invention further discloses a structure including a substrate; a dielectric located over the substrate, the dielectric having a k value of 2.5 or lower, the dielectric having a Young's modulus of elasticity of about 15 GigaPascals or higher; an opening located in the dielectric; and a conductor located in the opening.
    Type: Grant
    Filed: August 12, 2002
    Date of Patent: November 15, 2005
    Assignee: Intel Corporation
    Inventors: Grant Kloster, Lee Rockford, Jihperng Leu
  • Patent number: 6962872
    Abstract: A carrier for a semiconductor component is provided having passive components integrated in its substrate. The passive components include decoupling components, such as capacitors and resistors. A set of connections is integrated to provide a close electrical proximity to the supported components.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: November 8, 2005
    Assignee: International Business Machines Corporation
    Inventors: Michael Patrick Chudzik, Robert H. Dennard, Rama Divakaruni, Bruce Kenneth Furman, Rajarao Jammy, Chandrasekhar Narayan, Sampath Purushothaman, Joseph F. Shepard, Jr., Anna Wanda Topol
  • Patent number: 6960500
    Abstract: A semiconductor device comprises a plurality of gate lines composed of line shapes to function as gate electrodes in a plurality of transistors and separated from a substrate by a gate insulating layer, each having an upper metal silicide layer; and a plurality of source/drain regions formed on the substrate between said gate lines solely by carrying out impurity implantation processes.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: November 1, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-Cheol Shin, Kyu-Charn Park, Won-Hong Lee, Jung-Dal Choi
  • Patent number: 6949007
    Abstract: A fabricating system. A processing tool executes a film removal process on a wafer using a chemical mechanism. A metrology tool monitors surface characteristics of the wafer to obtain a measured film thickness thereof before and after a first removal process, wherein the first removal process lasts a first processing duration. The controller, coupled to the processing and metrology tools, determines whether the difference between the measured film thickness and a preset film thickness exceeds a preset value, and determines a second processing duration of a second removal process according to the measured and preset film thickness and the first processing duration.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: September 27, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Hwa Wang, Chii-Ping Chen
  • Patent number: 6949464
    Abstract: An improved semiconductor device fabrication method comprises insertion of a semiconductor wafer into a high-pressure heated chamber and deposition of a low melting-point aluminum material into a contact hole or via and over an insulating layer overlying a substrate of the wafer. The wafer is heated up to the melting point of the aluminum material and the chamber is pressurized to force the aluminum material into the contact holes or vias and eliminate voids present therein. A second layer of material, comprising a different metal or alloy, which is used as a dopant source, is deposited over an outer surface of the deposited aluminum material layer and allowed to diffuse into the aluminum material layer in order to form a homogenous aluminum alloy within the contact hole or via. A semiconductor device structure made according to the method is also disclosed.
    Type: Grant
    Filed: February 17, 2000
    Date of Patent: September 27, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Trung T. Doan
  • Patent number: 6949457
    Abstract: A method of forming an electrically conductive via. A first electrically conductive layer is formed, and a second layer is formed on the first layer. The second layer has desired barrier layer properties. A third non electrically conductive layer is formed on the second layer. A via hole is etched through the third layer, thereby exposing a portion of the second layer at the bottom of the via hole. The exposed portion of the second layer at the bottom of the via hole is redistributed so that at least a portion of the second layer is removed from the bottom of the via hole and deposited on lower portions of the sidewalls of the via hole. A fourth electrically conductive layer is formed within the via hole to form the electrically conductive via.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: September 27, 2005
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Robert W. Fiordalice, Faivel Pintchovski
  • Patent number: 6946392
    Abstract: A scheme for filling plugs through chemical mechanical polishing comprises depositing a malleable conductive layer over a dielectric layer having openings formed therein. The malleable conductive layer is deposited such that a liner is formed within the openings, however the openings are not completely filled. A chemical mechanical polishing process using an alumina based slurry at a neutral or slightly basic pH and no oxidizer is used to smear the malleable conductive layer sufficiently to fill the remainder of the openings in the dielectric layer forming filled or substantially filled plugs.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: September 20, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 6936529
    Abstract: The present invention relates to a method for fabricating a gate electrode of a semiconductor device with a double hard mask capable of preventing an abnormal oxidation of a metal layer included in the gate electrode and suppressing stress generation. The method includes the steps of: forming a gate insulation layer on a substrate; forming a gate layer structure containing at least a metal layer on the gate insulation layer; forming a hard mask oxide layer on the gate layer structure at a temperature lower than an oxidation temperature of the metal layer; forming a hard mask nitride layer on the hard mask oxide layer; patterning the hard mask oxide layer and the hard mask nitride layer as a double hard mask for forming the gate electrode; and forming the gate electrode by etching the gate layer structure with use of the double hard mask as an etch mask.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: August 30, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Yong Lim, Heung-Jae Cho, Jung-Ho Lee, Se-Aug Jang, Yong-Soo Kim, Byung-Seop Hong, Jae-Geun Oh, Hong-Seon Yang, Hyun-Chul Sohn
  • Patent number: 6933226
    Abstract: A method of forming a gate in a semiconductor device includes forming a dummy gate insulating layer on a semiconductor substrate having a field oxide layer isolating the device, depositing a dummy gate polysilicon layer and a hard mask layer on the dummy gate insulating layer sequentially, patterning the hard mask layer into a mask pattern and patterning the dummy gate polysilicon layer using the mask pattern as an etch barrier, forming spacers at both sidewalls of the dummy gate polysilicon layer, depositing an insulating interlayer on the resultant structure after forming the spacers, exposing a surface of the dummy gate polysilicon layer by carrying out an oxide layer CMP process having a high selection ratio against the dummy gate polysilicon layer, forming a damascene structure by removing the dummy gate polysilicon layer and the dummy gate insulating layer using the insulating interlayer as another etch barrier, depositing a gate insulating layer and a gate metal layer on the entire surface of the semic
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: August 23, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang Ick Lee, Hyung Hwan Kim, Se Aug Jang
  • Patent number: 6933186
    Abstract: A method of improving the tolerance of a back-end-of-the-line (BEOL) thin film resistor is provided. Specifically, the method of the present invention includes an anodization step which is capable of converting a portion of base resistor film into an anodized region. The anodized resistor thus formed has a sheet resistivity that is higher than that of the base resistor film.
    Type: Grant
    Filed: September 21, 2001
    Date of Patent: August 23, 2005
    Assignee: International Business Machines Corporation
    Inventors: John M. Cotte, Kenneth J. Stein, Seshadri Subbanna, Richard P. Volant
  • Patent number: 6930037
    Abstract: This invention relates to a process for forming a metal interconnect comprising the steps of forming a concave in an insulating film formed on a substrate, forming a barrier metal film on the insulating film, forming an interconnect metal film over the whole surface such that the concave is filled with the metal and then polishing the surface of the substrate by chemical mechanical polishing, characterized in that the polishing step comprises a first polishing step of polishing the surface such that the interconnect metal film partially remains on the surface other than the concave and a second polishing step of polishing the surface using a polishing slurry controlling a polishing-rate ratio of the interconnect metal to the barrier metal to 1 to 3 both inclusive, until the surface of the insulating film other than the concave is substantially completely exposed.
    Type: Grant
    Filed: December 15, 2000
    Date of Patent: August 16, 2005
    Assignee: NEC Electronics Corporation
    Inventors: Yasuaki Tsuchiya, Tomoko Wake
  • Patent number: 6927160
    Abstract: A copper-containing layer suitable for an electrical interconnect in a device such as an integrated circuit is created by a procedure in which a trench (104) is formed through a dielectric layer (102) down to a substrate (100). A diffusion barrier (106) is provided over the dielectric layer and into the trench. Copper (108) is deposited over the diffusion barrier and into the trench. Chemical mechanical polishing is utilized to remove the copper outside the trench down substantially to the diffusion-barrier material overlying the dielectric layer. A sputter etch, typically of the reactive type, is then performed to substantially remove the diffusion-barrier material overlying the dielectric layer. The sputter etch typically removes copper above and/or in the trench at approximately the same rate as the diffusion-barrier material so as to substantially avoid the undesirable dishing phenomenon.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: August 9, 2005
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Patent number: 6924225
    Abstract: An electrically conductive contact can be used to connect an integrated component to an interconnect. A sacrificial layer is deposited on a liner and planarized until a surface of the integrated component is uncovered. The sacrificial layer is patterned to define the later contacts. The layer is covered in a partial region above contact connection regions. An interlevel insulator is deposited and patterned, so that the sacrificial layer can then be stripped out from the partial region. After the removal of the liner, a conductive layer is deposited into the cavity formed as a result of the stripping-out process on the uncovered contact connection regions and optionally into trenches formed at the outset within the interlevel insulator.
    Type: Grant
    Filed: July 16, 2004
    Date of Patent: August 2, 2005
    Assignee: Infineon Technologies AG
    Inventors: Martin Popp, Dietmar Temmler
  • Patent number: 6908851
    Abstract: A method to reduce the copper corrosion of copper interconnects by forming 70 at least one conductive displacement plating layer on the copper interconnects. Also, a method to eliminate the copper corrosion of copper interconnects by forming 70 at least one conductive displacement plating layer on the copper interconnects.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: June 21, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Yaojian Leng, Linlin Chen
  • Patent number: 6905967
    Abstract: In a feature layer of a semiconductor wafer, dummy tiles which overcome the tendency of dishing and erosion to occur during a CMP process are placed with various sizes and in various positions. An isolation zone is provided around active features. A scanning process of the feature layout surveys oxide density and nitride density over the wafer layer outside of said isolation zone. Values of the ratios of oxide/nitride density for two or more length scales which define tiling zones, are calculated. Tile placement and sizing in the zones is dependent upon the oxide/nitride density ratio values; and further upon an oxide deposition model specific to the oxide used in the fabrication process and upon a polishing model of the CMP process being employed.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: June 14, 2005
    Assignees: AMD, Inc., Motorola, Inc.
    Inventors: Ruiqi Tian, Edward Outlaw Travis, Jr., Thomas Michael Brown
  • Patent number: 6905957
    Abstract: A preceding wafer having an aluminum wiring and a silicon oxide film formed on an insulating film is chemico-mechanically polished. In the stage in which surface irregularities of the silicon oxide film are eliminated, polishing is discontinued. On the basis of the result, a polishing time is determined in accordance with the following formula: T=(D1?D2)/v+t1 where, D1 represents the thickness in the stage in which polishing is discontinued; D2, a target thickness; t1, a time required from the initial thickness to reach the thickness D1; and the polishing rate of the material of the silicon oxide film formed on a flat substrate is denoted as v.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: June 14, 2005
    Assignee: NEC Corporation
    Inventor: Shinichiro Kakita
  • Patent number: 6899603
    Abstract: In a polishing apparatus having a cover body with fluid pressing mechanism, during polishing, vibration and migration of sticking portion between a retainer and a membrane generated in downstream of rotation of a polishing platen is prevented by reducing sticking force between the retainer and the membrane to less than force needed to wafer polishing with rotation of the cover body.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: May 31, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Yoshio Homma, Seiichi Kondo, Noriyuki Sakuma, Youhei Yamada, Takeshi Kimura, Hiroki Nezu
  • Patent number: 6884724
    Abstract: Methods and apparatus for planarizing a substrate surface are provided. In one aspect, a method is provided for planarizing a substrate surface including polishing a first conductive material to a barrier layer material, depositing a second conductive material on the first conductive material by an electrochemical deposition technique, and polishing the second conductive material and the barrier layer material to a dielectric layer. In another aspect, a processing system is provided for forming a planarized layer on a substrate, the processing system including a computer based controller configured to cause the system to polish a first conductive material to a barrier layer material, deposit a second conductive material on the first conductive material by an electrochemical deposition technique, and polish the second conductive material and the barrier layer material to a dielectric layer.
    Type: Grant
    Filed: August 24, 2001
    Date of Patent: April 26, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Wei-Yung Hsu, Liang-Yuh Chen, Ratson Morad, Daniel A. Carl
  • Patent number: 6878617
    Abstract: Disclosed is a method of forming a copper wire on a semiconductor device capable of preventing the natural oxidation of copper. The method comprises the steps of: forming an insulation film pattern having vias and trenches on a semiconductor substrate; forming a copper wire by filling up the vias and the trenches with copper; successively forming a capping layer and a protective layer on the copper wire and the insulation film pattern; exposing the copper wire by selectively removing the capping layer and the protective layer; and forming an oxidation-prevention layer on the copper wire. According to the present invention, the natural oxidation of copper is avoided by selectively depositing aluminum on a copper wire pad, and therefore a dependable evaluation is possible from tests of reliability in a high temperature. Furthermore, since aluminum has a lower contact resistance compared with copper, dependable test results are obtained during tests of electrical characteristics.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: April 12, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Byung Zu Lee, Hyun Yong Kim
  • Patent number: 6878619
    Abstract: The method comprises the steps of sequentially forming a USG film 32, an SiN film 34, a USG film 36, a carbon film 50 and an anti-reflection coating 52 for protecting the carbon film 50 from ashing, forming a resist film 56 with openings in prescribed regions on the anti-reflection coating 52, etching the anti-reflection film 52 and the carbon film 50 with the resist film 56 as a mask, removing the resist film by ashing, and anisotropically etching the USG films 36, 32 with the carbon film 50 as a hard mask. Accordingly, the insulation film can be etched at a high selective ratio, and the increase of dimensions of a pattern of the mask with respect to dimension of a pattern of the resist film used in the patterning can be suppressed.
    Type: Grant
    Filed: January 14, 2003
    Date of Patent: April 12, 2005
    Assignee: Fujitsu Limited
    Inventor: Katsumi Kakamu
  • Patent number: 6875694
    Abstract: An exposed surface of inlaid Cu is plasma treated for improved capping layer adhesion while controlling plasma conditions to avoid damaging porous low-k materials. Embodiments include forming a dual damascene opening in a porous dielectric material having a dielectric constant (k) of up to 2.4, e.g., 2.0 to 2.2, filling the opening with Cu, conducting CMP, plasma treating the exposed Cu surface in NH3 or H2 at a low power, e.g., 75 to 125 watts, for a short period of time, e.g., 2 to 8 seconds, without etching the porous low-k material and depositing a capping layer, e.g., silicon nitride or silicon carbide.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: April 5, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert Huertas, Hieu Pham
  • Patent number: 6858531
    Abstract: Embodiments of the invention include a method for electro chemical mechanical polishing of a substrate. The process includes flowing an electro chemical mechanical polishing (ECMP) slurry having a high viscosity with a polishing agent over a portion of the substrate. Electrical current is passed through the slurry and substrate. The electrical current, in conjunction with the abrading action of the slurry as it flows over the surface of the substrate, serves to remove at least a portion of the metal layer from the substrate. The invention also includes various slurry embodiments.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: February 22, 2005
    Assignee: LSI Logic Corporation
    Inventors: Mei Zhu, Wilbur G. Catabay
  • Patent number: 6849542
    Abstract: The invention provides a method for manufacturing a semiconductor device with reduced dishing and erosion. In this method for manufacturing a semiconductor device, the convex/concave pattern is planarized by relatively moving a substrate having the convex/concave pattern on the surface and a polishing tool with pressing the convex/concave surface of the substrate on the polishing tool. The polishing tool is provided with a grindstone 10 having a plurality of polygonal segments 20, which comprises abrasive 23 that is bonded together with resin 24 and contains pores 22. The polygonal segments are arranged so that corners of three or more polygonal segments are not located near each other.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: February 1, 2005
    Assignees: Hitachi, Ltd., Nippon Tokushu Kent Co., Ltd.
    Inventors: Soichi Katagiri, Ui Yamaguchi, Seiichi Kondo, Kan Yasui, Masahiro Kaise, Minoru Honda
  • Patent number: 6844255
    Abstract: The invention comprises methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. In one implementation, a method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry includes forming a conductive metal interconnect layer over a substrate. An insulating dielectric mass is provided about the conductive metal interconnect layer. The insulating dielectric mass has a first dielectric constant. At least a majority of the insulating dielectric mass is etched away from the substrate. After the etching, an interlevel dielectric layer is deposited to replace at least some of the etched insulating dielectric material. The interlevel dielectric layer has a second dielectric constant which is less than the first dielectric constant.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: January 18, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Terrence McDaniel, Max F. Hineman
  • Patent number: 6838370
    Abstract: The present invention is directed to suppressing the rise of a dielectric constant of insulating film during a procedure of burying wiring in semiconductor devices by using a damascene process, and it is also directed to simplifying a process of manufacturing the semiconductor devices. In terms of a process step of forming protection film on a metal layer during the damascene process, there is employed a combined arrangement of a wash unit where particles are removed from polished substrates with a processing unit where a solution containing an organic substance such as benzotriazole, which tends to be bound to the metal layers, is applied to the metal layers over the substrates after the particles are removed therefrom. For the combined arrangement of the processing unit and the wash unit, either a batch processing unit or a mono/serial processing unit can be employed.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: January 4, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Niuya, Michihiro Ono, Hideto Goto
  • Publication number: 20040266174
    Abstract: A method of reducing or substantially eliminating the number of tungsten plug pullouts and consequential chip failures by controlling the CMP step of removing the overfilled tungsten so as to leave a thin layer of tungsten instead of continuing the removal down to the top surface of the dielectric layer.
    Type: Application
    Filed: June 27, 2003
    Publication date: December 30, 2004
    Inventors: Chin-Tien Yang, Juei-Kuo Wu, Dian-Hua Chen, Huan-Chi Tseng
  • Publication number: 20040235297
    Abstract: A method of removing excess conductive material over a patterned insulating layer by reverse electroplating. A semiconductor wafer is submerged in an electroplating solution, and the semiconductor wafer functions as an anode in the reverse electroplating process. Bulk conductive material from the wafer surface is deposited to a cathode that is also submerged in the electroplating solution. Damascene conductive regions may be formed using the reverse electroplating process without causing damage to the top surface of the first insulating layer or causing dishing or erosion of top surface of the conductive material.
    Type: Application
    Filed: May 23, 2003
    Publication date: November 25, 2004
    Inventor: Bih-Tiao Lin
  • Patent number: 6821881
    Abstract: Methods and apparatus for processing substrates to improve polishing uniformity, improve planarization, remove residual material and minimize defect formation are provided. In one aspect, a method is provided for processing a substrate having a conductive material and a low dielectric constant material disposed thereon including polishing a substrate at a polishing pressures of about 2 psi or less and at platen rotational speeds of about 200 cps or greater. The polishing process may use an abrasive-containing polishing composition having up to about 1 wt. % of abrasives. The polishing process may be integrated into a multi-step polishing process.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: November 23, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Stan D. Tsai, Liang-Yuh Chen, Lizhong Sun, Shijian Li, Feng Q. Liu, Rashid Mavliev, Ratson Morad, Daniel A. Carl
  • Patent number: 6818555
    Abstract: A method for a metal etchback process to form a metal filled semiconductor feature having improved planarity and electrical resistance including a semiconductor wafer having an etched opening lined with a refractory metal containing layer and a blanket deposited metal layer filling the etched opening; spin coating a spin on layer selected from the group consisting of an organic resinous layer and a spin-on glass layer over the metal layer; dry etching in a first etchback process to remove a first portion of the SOL layer to reveal a portion of the metal layer leaving a second portion of the SOL layer overlying the etched opening; dry etching in a second etchback process to remove the metal layer to reveal a portion of the refractory metal containing layer; and, removing the second portion of the SOL layer to form a substantially planar metal filled etched opening.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: November 16, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: How-Cheng Tsai, Hung-Hsin Liu, Chung-Daw Young, Ming-Kuo Yu
  • Patent number: 6815368
    Abstract: Methods for removing titanium-containing layers from a substrate surface where those titanium-containing layers are formed by chemical vapor deposition (CVD) techniques. Titanium-containing layers, such as titanium or titanium nitride, formed by CVD are removed from a substrate surface using a sulfuric acid (H2SO4) solution. The H2SO4 solution permits selective and uniform removal of the titanium-containing layers without detrimentally removing surrounding materials, such as silicon oxides and tungsten. Where the titanium-containing layers are applied to the sidewalls of a hole in the substrate surface and a plug material such as tungsten is used to fill the hole, subsequent spiking of the H2SO4 solution with hydrogen peroxide (H2O2) may be used to recess the titanium-containing layers and the plug material below the substrate surface.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Gary Chen