Including Heat Treatment Of Conductive Layer Patents (Class 438/660)
  • Patent number: 8338291
    Abstract: A method of producing a transistor includes providing a substrate including in order a first electrically conductive material layer and a second electrically conductive material layer. A resist material layer is deposited over the second electrically conductive material layer. The resist material layer is patterned to expose a portion of the second electrically conductive material layer. Some of the second electrically conductive material layer is removed to create a reentrant profile in the second electrically conductive material layer and to expose a portion of the first electrically conductive material layer. The second electrically conductive material layer is caused to overhang the first electrically conductive material layer by removing some of the first electrically conductive material layer.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: December 25, 2012
    Assignee: Eastman Kodak Company
    Inventors: Lee W. Tutt, Shelby F. Nelson
  • Patent number: 8334199
    Abstract: A nitride-based semiconductor light-emitting device 100 includes: a GaN substrate 10 with an m-plane surface 12; a semiconductor multilayer structure 20 provided on the m-plane surface 12 of the GaN substrate 10; and an electrode 30 provided on the semiconductor multilayer structure 20. The electrode 30 includes a Zn layer 32 and an Ag layer 34 provided on the Zn layer 32. The Zn layer 32 is in contact with a surface of a p-type semiconductor region of the semiconductor multilayer structure 20.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: December 18, 2012
    Assignee: Panasonic Corporation
    Inventors: Mitsuaki Oya, Toshiya Yokogawa, Atsushi Yamada, Akihiro Isozaki
  • Publication number: 20120312372
    Abstract: The invention relates to zinc-containing glass compositions useful in conductive pastes for silicon semiconductor devices and photovoltaic cells.
    Type: Application
    Filed: August 23, 2012
    Publication date: December 13, 2012
    Applicant: E I DU PONT DE NEMOURS AND COMPANY
    Inventors: Alan Frederick Carroll, Kenneth Warren Hang, Brian J. Laughlin, Zhigang Rick Li, Hisashi Matsuno, Yueli Wang
  • Patent number: 8329556
    Abstract: A process for the fabrication of semiconductor devices on a substrate, the semiconductor devices including at least one metal layer. The process includes, removing the substrate and applying a second substrate; and annealing the at least one metal layer by application of a beam of electromagnetic radiation on the at least one metal layer.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: December 11, 2012
    Assignee: Tinggi Technologies Private Limited
    Inventors: Shu Yuan, Jing Lin
  • Patent number: 8318594
    Abstract: A nitride-based semiconductor light-emitting device 100 includes: a GaN substrate 10 with an m-plane surface 12; a semiconductor multilayer structure 20 provided on the m-plane surface 12 of the GaN substrate 10; and an electrode 30 provided on the semiconductor multilayer structure 20. The electrode 30 includes an Mg layer 32 and an Ag layer 34 provided on the Mg layer 32. The Mg layer 32 is in contact with a surface of a p-type semiconductor region of the semiconductor multilayer structure 20.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: November 27, 2012
    Assignee: Panasonic Corporation
    Inventors: Mitsuaki Oya, Toshiya Yokogawa, Atsushi Yamada, Akihiro Isozaki
  • Publication number: 20120292641
    Abstract: A semiconductor device having a substrate, and at least one contact, situated on and/or above a surface of the substrate, having at least one layer made of a conductive material, the conductive material including at least one metal. The layer made of the conductive material is sputtered on, and has tear-off marks on at least one outer side area between an outer base area facing the surface and an outer contact area facing away from the surface. A manufacturing method for a semiconductor device having at least one contact is also described.
    Type: Application
    Filed: April 13, 2012
    Publication date: November 22, 2012
    Inventors: Frederik Schrey, Achim Trautmann, Joachim Rudhard
  • Patent number: 8309448
    Abstract: Provided is a method for forming a buried word line in a semiconductor device. The method includes forming a trench by etching a pad layer and a substrate, forming a conductive layer to fill the trench, planarizing the conductive layer until the pad layer is exposed, performing an etch-back process on the planarized conductive layer, and performing an annealing process in an atmosphere of a nitride-based gas after at least one of the forming of the conductive layer, the planarizing of the conductive layer, and the performing of the etch-back process on the planarized conductive layer.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: November 13, 2012
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Sun-Hwan Hwang, Se-Aug Jang, Kee-Joon Oh, Soon-Young Park
  • Patent number: 8298905
    Abstract: A method for forming a functional element includes a first step of forming an insulating layer composed of an insulator phase of a transition metal oxide serving as a metal-to-insulator transition material, the transition metal oxide being mainly composed of vanadium dioxide, and a second step of causing part of the insulating layer to transition to a metallic phase, in which the insulator phase differs from the metallic phase in terms of electrical resistivity and/or light transmittance.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: October 30, 2012
    Assignee: Sony Corporation
    Inventor: Daisuke Ito
  • Patent number: 8288182
    Abstract: A method for manufacturing a thin film transistor includes: forming a source electrode and a drain electrode on a substrate by depositing a metal layer on the substrate at a first temperature and etching the metal layer; forming a protective layer on the source and drain electrodes; and performing a heat treatment on the protective layer at a second temperature higher than the first temperature.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: October 16, 2012
    Assignee: Samsung Display Co., Ltd.
    Inventors: Young-Gil Ji, Deuk-Jong Kim
  • Patent number: 8288276
    Abstract: Interconnect structures having improved electromigration resistance are provided that include a metallic interfacial layer (or metal alloy layer) that is present at the bottom of a via opening. The via opening is located within a second dielectric material that is located atop a first dielectric material that includes a first conductive material embedded therein. The metallic interfacial layer (or metal alloy layer) that is present at the bottom of the via opening is located between the underlying first conductive material embedded within the first dielectric and the second conductive material that is embedded within the second dielectric material. Methods of fabricating the improved electromigration resistance interconnect structures are also provided.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: October 16, 2012
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Veeraraghavan S. Basker, William Tonti, Keith Kwong Hon Wong
  • Patent number: 8288277
    Abstract: A method of processing a substrate with a conductive film formed thereover and method of forming a micromagnetic device. In one embodiment, the method of processing the substrate includes reducing a temperature of the substrate to a stress-compensating temperature, and maintaining the temperature of the substrate at the stress-compensating temperature for a period of time. The method also includes increasing the temperature of the substrate above the stress-compensating temperature.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: October 16, 2012
    Assignee: Enpirion, Inc.
    Inventors: Ken Takahashi, Trifon M. Liakopoulos
  • Publication number: 20120255605
    Abstract: The invention relates to a method of manufacturing a p-type electrode comprising the steps of: preparing an N-type base semiconductor substrate comprising an n-base layer, a p-type emitter on the n-base layer, a first passivation layer on the p-type emitter, and a second passivation layer on the n-base layer; applying a conductive paste onto the first passivation layer, wherein the conductive paste comprises (i) 100 parts by weight of a conductive powder comprising a metal selected from the group consisting of silver, nickel, copper and a mixture thereof, (ii) 0.3 to 8 parts by weight of aluminum powder with particle diameter of 3 to 11 ?m, (iii) 3 to 22 parts by weight of a glass frit, and (iv) an organic medium; and firing the conductive paste.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 11, 2012
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventor: NORIHIKO TAKEDA
  • Patent number: 8280531
    Abstract: A method and computer program for the control of the heat treatment of batches of metal workpieces for increasing the degree of automation of industrial furnace plants presumes an identical batch layout, an identical treatment program, and an identical article geometry of metal workpieces and relates it to a model batch, which has been run using batch thermoelements. The model batch becomes the foundation for a new batch. Through the assumption of program parameters of the actually run process of the model batch into the program of the new batch to be run, new batch thermoelements are not required for the new batch to be run.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: October 2, 2012
    Assignee: Ipsen, Inc.
    Inventors: Thomas Eversmann, Thomas Muhlhaus, Frank Biester, Regina Wolf, Jorg Willeke, Werner Schulte
  • Patent number: 8278200
    Abstract: In one exemplary embodiment, a program storage device readable by a machine, tangibly embodying a program of instructions executable by the machine for performing operations, said operations including: depositing a first layer having a first metal on a surface of a semiconductor structure, where depositing the first layer creates a first intermix region at an interface of the first layer and the semiconductor structure; removing a portion of the deposited first layer to expose the first intermix region; depositing a second layer having a second metal on the first intermix region, where depositing the second layer creates a second intermix region at an interface of the second layer and the first intermix region; removing a portion of the deposited second layer to expose the second intermix region; and performing at least one anneal on the semiconductor structure.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: October 2, 2012
    Assignees: International Business Machines Corpration, Globalfoudries Inc.
    Inventors: Christian Lavoie, Tak H. Ning, Ahmet S. Ozcan, Bin Yang, Zhen Zhang
  • Publication number: 20120223372
    Abstract: An aspect of the invention includes a method for forming a semiconductor device with a two-step silicide formation. First, a silicide intermix layer is formed over a source/drain region and a portion of an adjacent extension region. Any spacers removed to accomplish this may be replaced. Dielectric material covers the silicide intermix layer over the source/drain region. A contact opening for a via is etched into the dielectric material. A second silicide contact is formed on the silicide intermix layer, or may be formed within the source/drain region as long as the second silicide contact still contacts the silicide intermix layer.
    Type: Application
    Filed: March 3, 2011
    Publication date: September 6, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Emre Alptekin, Sameer Hemchand Jain, Reinaldo Ariel Vega
  • Publication number: 20120220072
    Abstract: Provided is a copper nano paste that can be calcined at a relatively low temperature. The copper nano paste includes: a binder added in an amount of 0.1 to 30 parts by weight; an additive added in an amount of not more than 10 parts by weight; and copper particles added in an amount of 1 to 95 parts by weight, wherein the copper particles have a particle size of 150 nm or less, and the surfaces of the copper particles are coated with a capping material.
    Type: Application
    Filed: December 5, 2011
    Publication date: August 30, 2012
    Inventors: Dong Hoon KIM, Sung Il Oh, Sung Koo Kang, Byung Ho Jun, Young Ah Song, Seong Jin Kim, Byoung Jin Chun
  • Publication number: 20120211890
    Abstract: A metal thin film forming method includes depositing a Ti film on an insulating film formed on a substrate and depositing a Co film on the Ti film. The film forming method further includes modifying a laminated film of the Ti film and the Co film on the insulating film to a metal thin film containing Co3Ti alloy by heating the laminated film in an inert gas atmosphere or a reduction gas atmosphere.
    Type: Application
    Filed: February 2, 2012
    Publication date: August 23, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuji AZUMO, Yasuhiko KOJIMA
  • Patent number: 8242019
    Abstract: A method for integrating metal-containing cap layers into copper (Cu) metallization of semiconductor devices. In one embodiment, the method includes providing a patterned substrate containing metal surfaces and dielectric layer surfaces, and modifying the dielectric layer surfaces by exposure to a reactant gas containing a hydrophobic functional group, where the modifying substitutes a hydrophilic functional group in the dielectric layer surfaces with a hydrophobic functional group. The method further includes depositing metal-containing cap layers selectively on the metal surfaces by exposing the modified dielectric layer surfaces and the metal surfaces to a deposition gas containing metal-containing precursor vapor.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: August 14, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Shigeru Mizuno, Satohiko Hoshino, Hiroyuki Nagai, Yuki Chiba, Frank M. Cerio, Jr.
  • Patent number: 8244482
    Abstract: A process system adapted for processing of or with a material therein. The process system includes: a sampling region for the material; an infrared photometric monitor constructed and arranged to transmit infrared radiation through the sampling region and to responsively generate an output signal correlative of the material in the sampling region, based on its interaction with the infrared radiation; and process control means arranged to receive the output of the infrared photometric monitor and to responsively control one or more process conditions in and/or affecting the process system.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventor: Jose I. Arno
  • Patent number: 8236687
    Abstract: A die-bonding method is suitable for die-bonding a LED chip having a first metal thin-film layer to a substrate. The method includes forming a second metal thin film layer on a surface of the substrate; forming a die-bonding material layer on the second metal thin film layer; placing the LED chip on the die-bonding material layer with the first metal thin film layer contacting the die-bonding material layer; heating the die-bonding material layer at a liquid -solid reaction temperature for a pre-curing time, so as to form a first intermetallic layer and a second intermetallic layer; and heating the die-bonding material layer at a solid-solid reaction temperature for a curing time for performing a solid-solid reaction. The liquid-solid reaction temperature and the solid-solid reaction temperature are both lower than 110° C., and a melting point of the first and second intermetallic layers after the solid-solid reaction is higher than 200° C.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: August 7, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Hsiu-Jen Lin, Jian-Shian Lin, Shau-Yi Chen, Chieh-Lung Lai
  • Patent number: 8236693
    Abstract: The gate and active regions of a device are formed and alternating steps of applying and removing nitride and oxide layers allows exposing silicon in different areas while keeping silicon or polysilicon in other area covered with nitride. Metal layers are deposited over the exposed silicon or polysilicon and annealing forms a silicide layer in the selected exposed areas. The oxide and/or nitride layers are removed from the covered areas and another metal layer is deposited. The anneal process is repeated with silicide of one thickness formed over the second exposed areas with additional thickness of silicide formed over the previous silicide thickness.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: August 7, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Wen Yu, Paul Besser, Bin Yang, Haijiang Yu, Simon S. Chan
  • Patent number: 8232190
    Abstract: Three dimensional vertical e-fuse structures and methods of manufacturing the same are provided herein. The method of forming a fuse structure comprises providing a substrate including an insulator layer and forming an opening in the insulator layer. The method further comprises forming a conductive layer along a sidewall of the opening and filling the opening with an insulator material. The vertical e-fuse structure comprises a first contact layer and a second contact layer. The structure further includes a conductive material lined within a via and in electrical contact with the first contact layer and the second contact layer. The conductive material has an increased resistance as a current is applied thereto.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kerry Bernstein, Timothy J. Dalton, Jeffrey P. Gambino, Mark D. Jaffe, Stephen E. Luce, Anthony K. Stamper
  • Publication number: 20120156873
    Abstract: A method for restricting lateral encroachment of the metal silicide into the channel region, comprising: providing a semiconductor substrate, a gate stack being formed on the semiconductor substrate, a source region being formed in the semiconductor on one side of the gate stack, and a drain region being formed in the semiconductor substrate on the other side of the gate stack; forming a sacrificial spacer around the gate stack and on the semiconductor substrate; depositing a metal layer for covering the semiconductor substrate, the gate stack and the sacrificial spacer; performing a thermal treatment on the semiconductor substrate, thereby causing the metal layer to react with the sacrificial spacer and the semiconductor substrate in the source region and the drain region; removing the sacrificial spacer, reaction products of the sacrificial spacer and the metal layer, and a part of the metal layer which does not react with the sacrificial spacer.
    Type: Application
    Filed: January 27, 2011
    Publication date: June 21, 2012
    Inventors: Jun Luo, Chao Zhao, Huicai Zhong
  • Patent number: 8202810
    Abstract: A method for forming a single damascene and/or dual damascene, contact and interconnect structure, comprising: performing front end processing, depositing copper including a copper barrier, annealing the copper in at least 90% N2 with less than 10% H2, performing planarization, performing in-situ low-H NH3 plasma treatment and low Si—H SiN etch stop layer deposition, and performing remaining back end processing.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: June 19, 2012
    Assignee: Spansion LLC
    Inventors: Alexander H. Nickel, Allen L. Evans, Minh Quoc Tran, Lu You, Minh Van Ngo, Pei-Yuan Gao, William S. Brennan, Erik Wilson, Sung Jin Kim, Hieu Trung Pham
  • Publication number: 20120146220
    Abstract: A semiconductor integrated-circuit device using the copper wiring having increased electromigration resistance, low resistivity, and a line width of 70 nm or less, is provided. The present invention is characterized by the annealing treatment wherein a copper wiring having a line width of 70 nm or less is heated with a heating rate of 1 K to 10 K per second, and then the temperature is constantly maintained for a prescribed time duration.
    Type: Application
    Filed: December 3, 2009
    Publication date: June 14, 2012
    Applicant: IBARAKI UNIVERSITY
    Inventors: Yasushi Sasajima, Jin Oonuki, Suguru Tashiro, Khyou Pin Khoo
  • Publication number: 20120132928
    Abstract: In a semiconductor diamond device, there is provided an ohmic electrode that is chemically, and thermally stable, and is excellent in respect of low contact resistance, and high heat resistance. A nickel-chromium alloy, or a nickel-chromium compound, containing Ni, and Cr such as Ni6Cr2 or Ni72Cr18Si10, which is chemically and thermally stable, is formed on a semiconductor diamond by a sputtering process and so forth, to thereby obtain the semiconductor diamond device provided with an excellent ohmic electrode. If heat treatment is applied after forming the nickel-chromium alloy, or the nickel-chromium compound, it is improved in characteristics.
    Type: Application
    Filed: July 21, 2010
    Publication date: May 31, 2012
    Applicant: NATIONAL INSTITUTE OF ADVANCED INDUDSTRIAL SCIENCE and TECHNOLOGY
    Inventors: Takatoshi Yamada, Somu Kumaragurubaran, Shinichi Shikata
  • Publication number: 20120100714
    Abstract: A method of fabricating a landing plug in a semiconductor memory device, which in one embodiment includes forming a landing plug contact hole on a semiconductor substrate having an impurity region to expose the impurity region; forming a landing plug by filling the landing plug contact hole with a polysilicon layer, wherein the landing plug is divided into a first region, a second region, a third region, and a fourth region from a lower portion of the landing plug, and the first region is doped with a first doping concentration that is relatively lowest, the second region is doped with a second doping concentration that is higher than the first doping concentration, the third region is doped with a third doping concentration that is higher than the second doping concentration and the fourth region is not doped; and annealing the resulting product formed with the landing plug.
    Type: Application
    Filed: January 3, 2012
    Publication date: April 26, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Kyoung Bong Rouh
  • Publication number: 20120086132
    Abstract: Provided is a method of manufacturing a via electrode by which productivity and production yield can be augmented or maximized. The method of the present invention includes: forming a via hole at a substrate; forming a catalyst layer at a sidewall and a bottom of the via hole; and forming a graphene layer in the via hole by exposing the catalyst layer to a solution mixed with graphene particles.
    Type: Application
    Filed: October 6, 2011
    Publication date: April 12, 2012
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: Dong-Pyo Kim, Kyu-Ha Baek, Kunsik Park, Ji Man Park, Zin Sig Kim, Joo Yeon Kim, Ye Sul Jeong, Lee-Mi Do
  • Publication number: 20120083118
    Abstract: Apparatus and methods for evaporating metal onto semiconductor wafers are disclosed. One such apparatus can include an evaporation chamber that includes a wafer holder, such as a dome, and a test wafer holder that is separate and spaced apart from the wafer holder. In certain implementations, the test wafer can be coupled to a cross beam supporting at least one shaper. A metal can be evaporated onto production wafers positioned in the wafer holder while metal is evaporated on a test wafer positioned in a test wafer holder. In some instances, the production wafers can be GaAs wafers. The test wafer can be used to make a quality assessment about the production wafers.
    Type: Application
    Filed: July 8, 2011
    Publication date: April 5, 2012
    Applicant: SKYWORKS SOLUTIONS, INC.
    Inventors: Lam T. Luu, Shiban K. Tiku, Richard S. Bingle, Jens A. Riege, Heather L. Knoedler, Daniel C. Weaver
  • Patent number: 8138084
    Abstract: Methods and an apparatus are described for an integrated circuit within which an electroless Cu plated layer having an oxygen content is formed on the top of a seed layer comprising Cu and Mn. The integrated circuit is then exposed to a sufficient high temperature to cause the self-formation of a MnSiOx barrier layer.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: March 20, 2012
    Assignee: Intel Corporation
    Inventor: Rohan N. Akolkar
  • Publication number: 20120064715
    Abstract: A method of depositing a metal film on a substrate with patterned features includes placing a substrate with patterned features into a photo-induced chemical vapor deposition (PI-CVD) process chamber. The method also includes depositing a metal film by PI-CVD to fill the patterned features from bottom up.
    Type: Application
    Filed: November 22, 2011
    Publication date: March 15, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Simon Su-Horng LIN, Chi-Ming YANG, Chyi Shyuan CHERN, Chin-Hsiang LIN
  • Publication number: 20120052679
    Abstract: A method of providing a metal contact to n-type Gallium Nitride is disclosed. The method does not require high temperatures that often lead to a degradation of semiconductor materials, dielectric films, interfaces and/or metal-semiconductor junctions. The method can be applied at practically any step of a semiconductor device fabrication process and results in high quality ohmic contact with low contact resistance and high current handling capability. Present invention significantly simplifies the fabrication process of semiconductor devices, such as Gallium Nitride-based Light Emitting Diodes and Laser Diodes, while improving the resulting performance of the said devices. The invention can also be applied to improve the performance of electronic devices based on Gallium Nitride material system, especially where an additional annealing step is beneficial during the fabrication process.
    Type: Application
    Filed: August 31, 2011
    Publication date: March 1, 2012
    Inventors: Wenting Hou, Theeradetch Detchprohm, Christian Martin Wetzel
  • Patent number: 8124529
    Abstract: The invention provides a method for manufacturing a semiconductor device that comprises placing a metallic gate layer over a gate dielectric layer where the metallic gate layer has a crystallographic orientation, and re-orienting the crystallographic orientation of the metallic gate layer by subjecting the metallic gate layer to a hydrogen anneal.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: February 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Luigi Colombo, James J. Chambers, Mark R. Visokay
  • Patent number: 8119492
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming a bottom electrode material layer containing aluminum and cupper over the substrate. An insulating material layer and a top electrode material layer are sequentially formed on the surface of the bottom electrode material layer. A photoresist pattern is formed on the top electrode material layer, and then the top electrode material layer is patterned to form a top electrode by using the photoresist pattern as mask. The photoresist pattern is removed by plasma ash and then an alloy process is performed to the bottom electrode material layer. Thereafter, the insulating material layer, and the bottom electrode material layer are patterned to form a patterned insulating layer and a patterned bottom electrode layer.
    Type: Grant
    Filed: July 10, 2009
    Date of Patent: February 21, 2012
    Assignee: United Microelectronics Corp.
    Inventor: Chun-Cheng Hsu
  • Patent number: 8119524
    Abstract: A first film containing a first metal material having a diffusion preventing function for copper, a second film containing oxygen-contained copper film, a third film containing copper and a second metal material which exhibits a diffusion preventing function for copper by bonding with oxygen, and a fourth film of copper as the main material are formed in an opening formed in an insulating film, and then a barrier layer containing the first metal material, the second metal material and oxygen is formed by thermal processing between the insulating film and the fourth film.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: February 21, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Michie Sunayama, Noriyoshi Shimizu
  • Publication number: 20120034776
    Abstract: A device of filling metal in a through-via-hole formed in a semiconductor wafer and a method of filling metal in a through-via-hole using the same are disclosed. A device of filling metal in a through-via-hole formed in a semiconductor wafer includes a jig base comprising a jig configured to fix the wafer having the through-via-hole formed therein; a upper chamber 120 installed on the jig base; a lower chamber installed under the jig base; a heater installed in the upper chamber, the heater configured to apply heat to filling metal placed on the wafer to melt the filling metal; and a vacuum pump configured to generate pressure difference between the upper chamber and the lower chamber by the pressure of the lower chamber reduced by discharging air of the lower chamber 130 outside, only to fill the melted filling metal in the through-via-hole.
    Type: Application
    Filed: December 30, 2009
    Publication date: February 9, 2012
    Inventors: Se Hoon Yoo, Chang Woo Lee, Jun Ki Kim, Jeong Han Kim, Cheol Hee Kim, Young Ki Ko, Yue Seon Shin
  • Patent number: 8110504
    Abstract: The method of manufacturing a semiconductor device according to the present invention includes: an insulating layer forming step of forming an insulating layer made of an insulating material containing Si and O; a groove forming step of forming a groove in the insulating layer; a metal film applying step of covering the inner surface of the groove with a metal film made of MnOx (x: a number greater than zero) by sputtering; and a wire forming step of forming a Cu wire made of a metallic material mainly composed of Cu on the metal film.
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: February 7, 2012
    Assignee: Rohm Co., Ltd.
    Inventors: Yuichi Nakao, Satoshi Kageyama
  • Patent number: 8110442
    Abstract: A method of manufacturing a thin TFT over a flexible substrate is provided. In formation of a TFT on a surface of a substrate having heat resistance, a liquid repellent film is formed selectively on a surface of the substrate, and an organic film is formed thereover. An element such as a TFT is formed over the organic film. Since the liquid repellent film is formed over the substrate, adhesion between the substrate and the organic film is low; therefore, the element which is formed can be peeled off from the substrate easily. Further, since the element is not transferred to another substrate, a semiconductor device which is thinner than conventional ones can be manufactured. In order to form the liquid repellent film selectively, light exposure of a front surface or a back surface of the substrate provided with a mask, a droplet discharging method, or the like is used.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: February 7, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yasuhiro Jinbo
  • Patent number: 8097532
    Abstract: To provide a method for manufacturing a semiconductor light emitting device capable of providing sufficiently low operating voltage. The method for manufacturing a semiconductor light emitting device of the present invention includes: a semiconductor laminating step of laminating a plurality of nitride semiconductor layers of to form a semiconductor laminating structure; and an electrode forming step of forming n-side electrode and p-side electrodes on the n-type and p-type semiconductor layers. In the electrode forming step, after a first metallic layer including a Ni layer constituting a part of the n-side electrode is formed on a surface of a forming region of the n-side electrode, the first metallic layer is annealed in an atmosphere containing nitrogen and oxygen.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: January 17, 2012
    Assignee: Rohm Co., Ltd.
    Inventor: Yukio Shakuda
  • Publication number: 20120009782
    Abstract: The present invention relates to an apparatus for manufacturing an integrated circuit (10) having a thick film metal layer (14). A layer of metal paste (14) is applied via an application means (24) onto a heat-conducting substrate (12). The metal paste (14) includes metal particles of a predetermined size. An RF generator (16) selectively inductively couples RF energy (18) into the metal paste (14). The predetermined size of the metal particles of the metal paste (14) corresponds to a coupling frequency of the RF energy (18), for heating the metal particles. In this way the metal particles of the metal paste (14) are heated with only a small fraction of the power of conventional processes, and without the need to pre-sinter the metal paste (14).
    Type: Application
    Filed: March 24, 2010
    Publication date: January 12, 2012
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS N.V.
    Inventors: Willem-Jan A. De Wijs, Marcus Johannes Van de Sande
  • Patent number: 8093575
    Abstract: A memristive device having a bimetallic electrode includes a memristive matrix, a first electrode and a second electrode. The first electrode is in electrical contact with the memristive matrix and the second electrode is in electrical contact with the memristive matrix and an underlying layer. At least one of the first and second electrodes is a bimetallic electrode which includes a conducting layer and a metallic layer.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: January 10, 2012
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Qiangfei Xia, Xuema Li, Jianhua Yang
  • Patent number: 8088688
    Abstract: A method of forming a non-volatile memory device. The method includes providing a substrate having a surface region and forming a first dielectric material overlying the surface region. A first wiring material comprising at least an aluminum material is formed overlying the first dielectric material. The method forms a silicon material overlying the aluminum material and forms an intermix region consuming a portion of the silicon material and a portion of the aluminum material. The method includes an annealing process to cause formation of a first alloy material from the intermix region and a polycrystalline silicon material having a p+ impurity characteristic overlying the first alloy material. A first wiring structure is formed from at least a portion of the first wiring material. A resistive switching element comprising an amorphous silicon material is formed overlying the polycrystalline silicon material having the p+ impurity characteristic.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: January 3, 2012
    Assignee: Crossbar, Inc.
    Inventor: Scott Brad Herner
  • Publication number: 20110318920
    Abstract: A method of annealing semiconductor devices to form substantially ohmic contact regions between a layer of wide band-gap semiconductor material and contact areas disposed thereon includes exposing the semiconductor devices to an annealing temperature less than approximately 900 degrees Celsius for an annealing duration of greater than approximately two hours.
    Type: Application
    Filed: March 1, 2011
    Publication date: December 29, 2011
    Applicant: Fairchild Semiconductor Corporation
    Inventors: William F. Seng, Richard L. Woodin
  • Publication number: 20110312179
    Abstract: The present invention provides a substrate processing method and a substrate processing apparatus, which are capable of forming a high-k dielectric film with few trapping levels due to oxygen deficiencies and hot carriers by a sputtering method in one and the same vacuum vessel. The substrate processing method according to a first embodiment of the present invention includes: a first step of heating a to-be-processed substrate (102) arranged in a film forming treatment chamber (100) and depositing a metal film on the to-be-processed substrate (102) by physical vapor deposition using a target (106); and a second step of supplying a gas containing elements for oxidizing a metal film in the film forming treatment chamber (100) to oxidize the metal film by a thermal oxidation reaction.
    Type: Application
    Filed: May 25, 2011
    Publication date: December 22, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takashi Nakagawa, Eun-mi Kim, Naomu Kitano, Kimiko Mashimo
  • Publication number: 20110291147
    Abstract: A composition and method for formation of ohmic contacts on a semiconductor structure are provided. The composition includes a TiAlxNy material at least partially contiguous with the semiconductor structure. The TiAlxNy material can be TiAl3. The composition can include an aluminum material, the aluminum material being contiguous to at least part of the TiAlxNy material, such that the TiAlxNy material is between the aluminum material and the semiconductor structure. The method includes annealing the composition to form an ohmic contact on the semiconductor structure.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 1, 2011
    Inventors: Yongjun Jeff Hu, John Mark Meldrim, Shanming Mou, Everett Allen McTeer
  • Publication number: 20110281379
    Abstract: Methods of forming conductive patterns include forming a conductive layer including a metal element on a substrate. The conductive layer is partially etched to generate a residue including an oxide of the metal element and to form a plurality of separately formed conductive layer patterns. A cleaning gas is inflowed onto the substrate including the conductive layer pattern. The metal compound is evaporated to remove the metal element contained in the residue and to form an insulating interface layer on the conductive layer pattern and a surface portion of the substrate through a reaction of a portion of the cleaning gas and oxygen. The residue may be removed from the conductive layer pattern to suppress generation of a leakage current.
    Type: Application
    Filed: May 6, 2011
    Publication date: November 17, 2011
    Applicant: Samsung Electronics Co., Ltd
    Inventors: Jun-Kyu YANG, Young-Geun PARK, Ki-Hyun HWANG, Han-Mei CHOI, Dong-Chul YOO
  • Publication number: 20110272711
    Abstract: There is provided a method of manufacturing a semiconductor device, a semiconductor device, and a semiconductor apparatus, by which an electrode having an excellent ohmic property can be formed, and a semiconductor device having excellent device characteristics can be obtained with a high product yield.
    Type: Application
    Filed: January 13, 2010
    Publication date: November 10, 2011
    Applicant: SHOWA DENKO K.K.
    Inventor: Taichi Okano
  • Publication number: 20110269310
    Abstract: A method of self-aligned silicidation on structures having high aspect ratios involves depositing a metal oxide film using atomic layer deposition (ALD) and converting the metal oxide film to metal film in order to obtain uniform step coverage. The substrate is then annealed such that the metal in regions directly overlying the patterned and exposed silicon reacts with the silicon to form uniform metal silicide at the desired locations.
    Type: Application
    Filed: April 11, 2011
    Publication date: November 3, 2011
    Applicant: ASM INTERNATIONAL N.V
    Inventor: Ivo Raaijmakers
  • Patent number: 8034656
    Abstract: An annealing method of a zinc oxide thin film, comprises loading a substrate coated with a zinc oxide thin film into a chamber, allowing a hydrogen gas to be flowed into the chamber, fixing pressure in the chamber and annealing the zinc oxide thin film using the hydrogen gas in the chamber.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: October 11, 2011
    Assignee: Kisco
    Inventor: Seung-Yeop Myong
  • Publication number: 20110232747
    Abstract: This invention relates to thick-film pastes and processes for using such pastes to make solar cell contacts and other circuit devices. In particular, the thick-film pastes comprise a lead-tellurium-oxide frit component, an organic vehicle, and a conductive metal component comprising a silver component and a nickel component.
    Type: Application
    Filed: May 4, 2011
    Publication date: September 29, 2011
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventors: KURT RICHARD MIKESKA, DAVID HERBERT ROACH, RAJ G. RAJENDRAN, SEIGI SUH