Silicide Patents (Class 438/682)
  • Publication number: 20110104897
    Abstract: Embodiments provide methods for treating a metal silicide contact which includes positioning a substrate having an oxide layer disposed on a metal silicide contact surface within a processing chamber, cleaning the metal silicide contact surface to remove the oxide layer while forming a cleaned silicide contact surface during a cleaning process, and exposing the cleaned silicide contact surface to a silicon-containing compound to form a recovered silicide contact surface during a regeneration process. In some examples, the cleaning of the metal silicide contact surface includes cooling the substrate to an initial temperature of less than 65° C., forming reactive species from a gas mixture of ammonia and nitrogen trifluoride by igniting a plasma, exposing the oxide layer to the reactive species to form a thin film, and heating the substrate to about 100° C. or greater to remove the thin film from the substrate while forming the cleaned silicide contact surface.
    Type: Application
    Filed: January 11, 2011
    Publication date: May 5, 2011
    Inventors: XINLIANG LU, CHIEN-TEH KAO, CHIUKIN STEVE LAI, MEI CHANG
  • Patent number: 7935632
    Abstract: Formation of metal pipes resulting from formation of metal silicide contacts are reduced or avoided. To reduce formation of metal pipes, an epitaxial layer is formed over the diffusion region on which the metal silicide contact is formed. The epitaxial layer reduces defects which enhances diffusion of metal atoms or molecules.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: May 3, 2011
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Wei Hua Tong, Lap Chan, K. Suresh Kumar, Miow Chin Tan
  • Patent number: 7935997
    Abstract: An apparatus having low resistance contacts in both the memory cell array and peripheral logic circuitry areas of a semiconductor device, for example, a DRAM memory device, is disclosed. In a buried bit line connection process flow, the present invention utilizes chemical vapor deposition of titanium to form titanium silicide in contact structures of the peripheral logic circuitry areas and physical vapor deposition to provide a metal mode (metallic) titanium layer in contact with the poly plugs in the memory cell array area of a semiconductor device, for example, a DRAM memory device according to the present invention. In this manner, the present invention avoids the potential drawbacks such as voiding in the poly plugs of the memory cell array due to the present of titanium silicide, which can cause significant reduction of device drain current and in extreme cases cause electrical discontinuity.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: May 3, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Terrence McDaniel
  • Publication number: 20110092056
    Abstract: Methods of forming an electrically conductive path under a barrier oxide layer of a semiconductor-on-insulator (SOI) substrate and an integrated circuit including the path are disclosed. In one embodiment, the method includes forming an electrically conductive path below a barrier oxide layer of a semiconductor-on-insulator (SOI) substrate, the method comprising: forming a first barrier oxide layer on a semiconductor substrate; forming the electrically conductive path within the first barrier oxide layer; and forming a second barrier oxide layer on the first barrier oxide layer. The electrically conductive path allows reduction of SRAM area by forming a wiring path underneath the barrier oxide layer on the SOI substrate.
    Type: Application
    Filed: December 23, 2010
    Publication date: April 21, 2011
    Inventors: Gregory Costrini, Ramachandra Divakaruni, Jeffrey P. Gambino, Randy W. Mann
  • Patent number: 7927942
    Abstract: A method of self-aligned silicidation on structures having high aspect ratios involves depositing a metal oxide film using atomic layer deposition (ALD) and converting the metal oxide film to metal film in order to obtain uniform step coverage. The substrate is then annealed such that the metal in regions directly overlying the patterned and exposed silicon reacts with the silicon to form uniform metal silicide at the desired locations.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: April 19, 2011
    Assignee: ASM International N.V.
    Inventor: Ivo Raaijmakers
  • Publication number: 20110068418
    Abstract: Field effect transistors described herein include first and second terminals vertically separated by a channel region. The first and second terminals comprise first and second silicide elements respectively. The first silicide element prevents the migration of carriers from the first terminal into the underlying semiconductor body or adjacent devices which can activate parasitic devices. The first silicide element is also capable of acting as a low resistance conductive line for interconnecting devices or elements. The second silicide element provides a low resistance contact between the second terminal and overlying elements.
    Type: Application
    Filed: September 23, 2009
    Publication date: March 24, 2011
    Applicant: Macronix International Co., Ltd.
    Inventor: HSIANG-LAN LUNG
  • Publication number: 20110062525
    Abstract: A method forms an integrated circuit structure. The method patterns a protective layer over a first-type field effect transistor and removes a stress liner from above a second-type field effect transistors. Then, the method removes a first-type silicide layer from source and drain regions of the second-type field effect transistor, but leaves at least a portion of the first-type silicide layer on the gate conductor of the second-type field effect transistor. The method forms a second-type silicide layer on the gate conductor and the source and drain regions of the second-type field effect transistor. The second-type silicide layer that is formed is different than the first-type silicide layer. For example, the first-type silicide layer and the second-type silicide layer can comprise different materials, different thicknesses, different crystal orientations, and/or different chemical phases, etc.
    Type: Application
    Filed: September 16, 2009
    Publication date: March 17, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christian Lavoie, Viorel C. Ontalus, Ahmet S. Ozcan
  • Publication number: 20110049605
    Abstract: A split gate nonvolatile semiconductor storage device includes: a substrate; a floating gate; a control gate; a first source/drain diffusion layer; a second source/drain diffusion layer; and a silicide. The floating gate is formed on the substrate through a gate insulating film. The control gate is formed adjacent to the floating gate through a tunnel insulating film. The first source/drain diffusion layer is formed in a surface region of the substrate on a side of the floating gate. The second source/drain diffusion layer is formed in a surface region of the substrate on a side of the control gate. The silicide contacts the first source/drain diffusion layer.
    Type: Application
    Filed: August 2, 2010
    Publication date: March 3, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Hisashi ISHIGURO
  • Patent number: 7897514
    Abstract: System and method for reducing contact resistance and improving barrier properties is provided. An embodiment comprises a dielectric layer and contacts extending through the dielectric layer to connect to conductive regions. A contact barrier layer is formed between the conductive regions and the contacts by electroless plating the conductive regions after openings have been formed through the dielectric layer for the contact. The contact barrier layer is then treated to fill the grain boundary of the contact barrier layer, thereby improving the contact resistance. In another embodiment, the contact barrier layer is formed on the conductive regions by electroless plating prior to the formation of the dielectric layer.
    Type: Grant
    Filed: January 24, 2008
    Date of Patent: March 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 7897513
    Abstract: The present application is directed to a method for forming a metal silicide layer. The method comprises providing a substrate comprising silicon and depositing a metal layer on the substrate. The metal layer is annealed within a first temperature range and for a first dwell time of about 10 milliseconds or less to react at least a portion of the metal with the silicon to form a silicide. An unreacted portion of the metal is removed from the substrate. The silicide is annealed within a second temperature range for a second dwell time of about 10 milliseconds or less.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: March 1, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Shashank Ekbote, Juanita Deloach
  • Patent number: 7888213
    Abstract: A technique for and structures for camouflaging an integrated circuit structure. The integrated circuit structure is formed by a plurality of layers of material having a controlled outline. A layer of conductive material having a controlled outline is disposed among said plurality of layers to provide artifact edges of the conductive material that resemble one type of transistor (operable vs. non-operable), when in fact another type of transistor was used.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: February 15, 2011
    Assignee: HRL Laboratories, LLC
    Inventors: Lap-Wai Chow, William M. Clark, Jr., Gavin J. Harbison, James P. Baukus
  • Patent number: 7879723
    Abstract: In an embodiment of the present invention, a semiconductor layer having regions into which a p-type impurity, an n-type impurity and a (p+n) impurity are respectively introduced is formed as a surface layer by being heat-treated. An impurity segregation layer on these regions is removed, and a film of a metallic material is thereafter formed on the regions and is heat-treated, thereby forming a silicide film on the semiconductor layer. In another embodiment, an impurity is introduced into the impurity segregation layer, and a film of a metallic material is thereafter formed on the impurity segregation layer and is heat-treated to form a silicide film.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: February 1, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kyoichi Suguro, Mitsuaki Izuha
  • Patent number: 7879722
    Abstract: A semiconductor device includes a silicon substrate, and a NiSi layer provided on the silicon substrate aiming to suppress oxidation of the surface of a NiSi layer and the resistivity increase. The NiSi layer includes a bottom NiSi region and a top NiSi region. The bottom NiSi region provided in contact with silicon surface, and containing substantially no nitrogen. The top NiSi region is a nitrided NiSi region provided in contact with the bottom NiSi region, and containing nitrogen. The NiSi layer has a total thickness of 50 nm or below.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: February 1, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Tomoko Matsuda, Takashi Ide, Hiroshi Kimura
  • Patent number: 7875545
    Abstract: A method of producing an ohmic contact and a resulting ohmic contact structure are disclosed. The method includes the steps of forming a deposited film of nickel and silicon on a silicon carbide surface at a temperature below which either element will react with silicon carbide and in respective proportions so that the atomic fraction of silicon in the deposited film is greater than the atomic fraction of nickel, and heating the deposited film of nickel and silicon to a temperature at which nickel-silicon compounds will form with an atomic fraction of silicon greater than the atomic fraction of nickel but below the temperature at which either element will react with silicon carbide. The method can further include the step of annealing the nickel-silicon compound to a temperature higher than the heating temperature for the deposited film, and within a region of the phase diagram at which free carbon does not exist.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: January 25, 2011
    Assignee: Cree, Inc.
    Inventors: Allan Ward, III, Jason Patrick Henning, Helmut Hagleitner, Keith Dennis Wieber
  • Patent number: 7863176
    Abstract: Devices and methods for providing low-resistance interconnects in a semiconductor device are provided. Specifically, one or more embodiments of the present invention relate to disposing a conductive material in a trench without disposing a resistive barrier material between the conductive material and the sidewalls of the trench so that the conductive material takes up the full width of the trench. For example, the trench may be disposed over one or more contacts made of a barrier material such as titanium nitride that also acts as a seed, and the conductive material may be grown on top of the titanium nitride to fill the trench.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: January 4, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Jaydeb Goswami, Allen McTeer
  • Patent number: 7863192
    Abstract: One embodiment relates to a method of fabricating an integrated circuit. In the method, p-type polysilicon is provided over a semiconductor body, where the p-type polysilicon has a first depth as measured from a top surface of the p-type polysilicon. An n-type dopant is implanted into the p-type polysilicon to form a counter-doped layer at the top-surface of the p-type polysilicon, where the counter-doped layer has a second depth that is less than the first depth. A catalyst metal is provided that associates with the counter-doped layer to form a catalytic surface. A metal is deposited over the catalytic surface. A thermal process is performed that reacts the metal with the p-type polysilicon in the presence of the catalytic surface to form a metal silicide. Other methods and devices are also disclosed.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: January 4, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Aaron Frank, David Gonzalez, Jr., Mark R. Visokay, Clint Montgomery
  • Patent number: 7863191
    Abstract: A first structure is formed, having a contact plug formed on the bottom of a first opening in an interlayer insulating film, a second opening formed through the interlayer insulating film to reach a semiconductor substrate, and a third opening formed through the interlayer insulating film to reach a polymetal gate electrode. A cobalt layer is deposited on the surface of the structure, and thermally treated to form a cobalt silicide layer on the surface of the contact plug and on the bottom face of the second opening. The structure is then treated to remove the cobalt, in the state in which the cobalt silicide layer is formed, with the use of a chemical solution capable of dissolving cobalt but not the polymetal.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: January 4, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Kenji Tanaka
  • Patent number: 7858524
    Abstract: A semiconductor device includes a semiconductor substrate; a gate insulation film formed on the semiconductor substrate; a silicide gate electrode of an n-type MISFET formed on the gate insulation film; and a silicide gate electrode of a p-type MISFET formed on the gate insulation film and having a thickness smaller than that of the silicide gate electrode of the n-type MISFET, the silicide gate electrode of the p-type MISFET having a ratio of metal content higher than that of the silicide gate electrode of the n-type MISFET.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: December 28, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tomonori Aoyama
  • Patent number: 7858518
    Abstract: A process for the in situ formation of a selective contact and a local interconnect on a semiconductor substrate. The exposed semiconductor substrate regions of a semiconductor device structure may be treated in a plasma to enhance the adhesiveness of a selective contact thereto. The semiconductor device structure is positioned within a reaction chamber, wherein a selective contact is deposited onto the exposed semiconductor substrate regions. Any residual selective contact material may be removed from oxide surfaces either intermediately or after selective contact deposition. While the semiconductor device remains in the reaction chamber, a local interconnect is deposited over the semiconductor device structure. The local interconnect may then be patterned. Subsequent layers may be deposited over the local interconnect. The present invention also includes semiconductor device structures formed by the inventive process.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: December 28, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Christopher W. Hill, Weimin Li, Gurtej S. Sandhu
  • Patent number: 7858517
    Abstract: First, in a first step, a gate electrode is formed over a silicon substrate, with a gate insulation film therebetween. Next, in a second step, etching with the gate electrode as a mask is conducted so as to dig down a surface layer of the silicon substrate. Subsequently, in a third step, a first layer including an SiGe layer is epitaxially grown on the dug-down surface of the silicon substrate. Next, in a fourth step, a second layer including an SiGe layer lower than the first layer in Ge concentration or including an Si layer is formed on the first layer. Thereafter, in a fifth step, at least the surface side of the second layer is silicided, to form a silicide layer.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: December 28, 2010
    Assignee: Sony Corporation
    Inventors: Naoyuki Sato, Kohjiro Nagaoka, Takashi Shinyama
  • Patent number: 7858514
    Abstract: In a method of fabricating a semiconductor structure, a carbon containing mask is fabricated over a dielectric layer. The mask exposes the surface of the dielectric layer at least partly in a region between two adjacent conducting lines. A contact hole is etched into the dielectric layer in the region between the two adjacent conducting lines.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: December 28, 2010
    Assignee: Qimonda AG
    Inventors: Ulrike Roessner, Daniel Koehler, Ilona Juergensen, Mirko Vogt
  • Patent number: 7851352
    Abstract: Silicide films with high quality are formed with treatment of laser light irradiation, so that miniaturization and higher performance is achieved in a field-effect transistor that is formed over an insulating substrate and has little variation in electric characteristics. An island-shaped semiconductor film including a pair of impurity regions and a channel formation region is formed over an insulating substrate, a first metal film is formed on the pair of impurity regions, and a second metal film that functions as a reflective film is formed over a gate electrode located over the channel formation region with a gate insulating film interposed therebetween. The first metal film is irradiated with laser light and a region where the second metal film is formed reflects the laser light, so that the island-shaped semiconductor film and the first metal film selectively react with each other in the pair of impurity regions.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: December 14, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventor: Tomoaki Moriwaka
  • Publication number: 20100301304
    Abstract: Methods for manufacturing buried silicide lines are described herein, along with high density stacked memory structures. A method for manufacturing an integrated circuit as described herein includes forming a semiconductor body comprising silicon. A plurality of trenches are formed in the semiconductor body to define semiconductor lines comprising silicon between adjacent trenches, the semiconductor lines having sidewalls. A silicide precursor is deposited within the trenches to contact the sidewalls of the semiconductor lines, and a portion of the silicide precursor is removed to expose upper portions of the sidewalls and leave remaining strips of silicide precursor along the sidewalls. Silicide conductors are then formed by inducing reaction of the strips of silicide with the silicon of the semiconductor lines.
    Type: Application
    Filed: May 26, 2009
    Publication date: December 2, 2010
    Applicant: Macronix International Co., Ltd.
    Inventors: SHIH-HUNG CHEN, TIAN-JUE HONG
  • Patent number: 7829430
    Abstract: Devices and methods are presented to fabricate dummy moats in an isolation region on a substrate. Presently, dummy moats are prone to losing impedance after the silicidation process. In high-voltage devices, silicided dummy moats reduce the breakdown voltage between active regions, particularly when the dummy moat overlaps or is in close proximity to a junction. The present devices and methods disclose a dummy moat covered with an oxide layer. During the silicidation process, the dummy moat and other designated isolation regions remain non-silicided. Thus, high and stable breakdown voltages are maintained.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: November 9, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer Pendharker, Binghua Hu
  • Patent number: 7829461
    Abstract: A semiconductor device fabrication method by which the thermal stability of nickel silicide can be improved. Nickel (or a nickel alloy) is formed over a semiconductor substrate on which a gate region, a source region, and a drain region are formed. Dinickel silicide is formed by performing a first annealing step, followed by a selective etching step. By performing a plasma treatment step, plasma which contains hydrogen ions is generated and the hydrogen ions are implanted in the dinickel silicide or the gate region, the source region, and the drain region under the dinickel silicide. The dinickel silicide is phase-transformed into nickel silicide by performing a second annealing step.
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: November 9, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kazuo Kawamura, Shinichi Akiyama
  • Publication number: 20100273324
    Abstract: A method of manufacturing a microelectronic device including forming a dielectric layer surrounding a dummy feature located over a substrate, removing the dummy feature to form an opening in the dielectric layer, and forming a metal-silicide layer conforming to the opening. The metal-silicide layer may then be annealed.
    Type: Application
    Filed: July 9, 2010
    Publication date: October 28, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Tung Lin, Chih-Wei Chang, Chii-Ming Wu, Mei-Yun Wang, Chiang-Ming Chuang, Shau-Lin Shue
  • Publication number: 20100267236
    Abstract: A method for forming silicide contacts in integrated circuits (ICs) is described. A spacer pull-back etch is performed during the salicidation process to reduce the stress between the spacer and source/drain silicide contact at the spacer undercut. This prevents the propagation of surface defects into the substrate, thereby minimizing the occurrence of silicide pipe defects. The spacer pull-back etch can be performed after a first annealing step to form the silicide contacts.
    Type: Application
    Filed: June 28, 2010
    Publication date: October 21, 2010
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Jianhui YE, Huang LIU, Alex KH SEE, Wei LU, Hai CONG, Hui Peng KOH, Mei Sheng ZHOU, Liang Choo HSIA
  • Patent number: 7816261
    Abstract: The present invention relates to improved metal-oxide-semiconductor field effect transistor (MOSFET) devices with stress-inducing structures located at the source and drain (S/D) regions. Specifically, each MOSFET comprises source and drain regions located in a semiconductor substrate. Such source and drain regions comprise recesses with one or more sidewall surfaces that are slanted in relation to an upper surface of the semiconductor substrate. A stress-inducing dielectric layer is located over the slanted sidewall surfaces of the recesses at the source and drain regions. Such MOSFETs can be readily formed by crystallographic etching of the semiconductor substrate to form the recesses with the slanted sidewall surfaces, followed by deposition of a stress-inducing dielectric layer thereover.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Hong Lin
  • Patent number: 7816258
    Abstract: An electro-optic device substrate includes a base and a TFT element having a source region and a drain region disposed on the base. The TFT element includes a silicon layer in the source region or the drain region, and the silicon layer at least partially includes a silicided portion. The electro-optic device substrate also includes a metal wire connected to the silicided portion of the silicon layer.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: October 19, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Minoru Moriwaki
  • Patent number: 7812413
    Abstract: A semiconductor device is disclosed. The device comprises a first MOSFET transistor. The transistor comprises a substrate, a first high-k dielectric layer upon the substrate, a first dielectric capping layer upon the first high-k dielectric, and a first gate electrode made of a semiconductor material of a first doping level and a first conductivity type upon the first dielectric capping layer. The first dielectric capping layer comprises Scandium.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: October 12, 2010
    Assignees: IMEC, Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Hsun Chang, Lars-Ake Ragnarsson
  • Patent number: 7803707
    Abstract: The present invention provides metal silicide nanowires, including metallic, semiconducting, and ferromagnetic semiconducting transition metal silicide nanowires. The nanowires are grown using either chemical vapor deposition (CVD) or chemical vapor transport (CVT) on silicon substrates covered with a thin silicon oxide film, the oxide film desirably having a thickness of no greater than about 5 nm and, desirably, no more than about 2 nm (e.g., about 1-2 nm). The metal silicide nanowires and heterostructures made from the nanowires are well-suited for use in CMOS compatible wire-like electronic, photonic, and spintronic devices.
    Type: Grant
    Filed: August 17, 2006
    Date of Patent: September 28, 2010
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Song Jin, Andrew L. Schmitt, Yipu Song
  • Patent number: 7799682
    Abstract: By performing a silicidation process on the basis of a patterned dielectric layer, such as an interlayer dielectric material, the respective metal silicide portions may be provided in a highly localized manner at the respective contact regions, while the overall amount of metal silicide may be significantly reduced. In this way, a negative influence of the stress of metal silicide on the channel regions of field effect transistors may be significantly reduced, while nevertheless maintaining a low contact resistance.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: September 21, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Sven Beyer, Patrick Press, Thomas Feudel
  • Patent number: 7799644
    Abstract: A transistor having a source with higher resistance than its drain is optimal as a pull-up device in a storage circuit. The transistor has a source region having a source implant having a source resistance. The source region is not salicided. A control electrode region is adjacent the source region for controlling electrical conduction of the transistor. A drain region is adjacent the control electrode region and opposite the source region. The drain region has a drain implant that is salicided and has a drain resistance. The source resistance is more than the drain resistance because the source region having a physical property that differs from the drain region.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: September 21, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ted R. White, James D. Burnett, Brian A. Winstead
  • Patent number: 7795143
    Abstract: A substrate processing apparatus, including: a reaction container in which a substrate is processed; a seal cap, brought into contact with one end in an opening side of the reaction container via a first sealing member and a second sealing member so as to seal the opening of the reaction container air-tightly; a first gas channel, formed in a region between the first sealing member and the second sealing member in a state where the seal cap is in contact with the reaction container; a second gas channel, provided to the seal cap and through which the first gas channel is in communication with an inside of the reaction container; a first gas supply port that is provided to the reaction container and supplies a first gas to the first gas channel; and a second gas supply port that is provided to the reaction container and supplies a second gas into the reaction container, wherein a front end opening of the first gas supply port opening to the first gas channel, and a base opening of the second gas channel openin
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: September 14, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kiyohiko Maeda, Takeo Hanashima, Masanao Osanai
  • Patent number: 7790617
    Abstract: A method of fabrication of a sputtered metal silicide layer over a copper interconnect. We form a dielectric layer over a conductive layer. We form an interconnect opening in the dielectric layer. We form a copper layer at least filling the interconnect opening. We planarize the copper layer to form a copper interconnect in the interconnect opening. The copper interconnect is over polished to form a depression. We form metal silicide layer over the copper interconnect using a low temperature sputtering process. We can form a cap layer over the metal silicide layer.
    Type: Grant
    Filed: November 12, 2005
    Date of Patent: September 7, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yeow Kheng Lim, Wei Lu, Liang Choo Hsia, Jyoti Gupta, Chim Seng Seet, Hao Zhang
  • Patent number: 7790616
    Abstract: A method for producing a silicide contact. The method comprises the steps of depositing a metal on a SiC substrate; forming an encapsulating layer on deposited metal; and annealing said deposited metal to form a silicide contact. The encapsulating layer prevents agglomeration and formation of stringers during the annealing process.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: September 7, 2010
    Assignee: Northrop Grumman Systems Corporation
    Inventors: Steven Mark Buchoff, Andrew Christian Loyd, Robert S. Howell
  • Patent number: 7790545
    Abstract: A method of manufacturing a semiconductor device such as a MOS transistor. The device comprises a polysilicon gate (10) and doped regions (22,24) formed in a semiconductor substrate (12), separated by a channel region (26). The exposed surface of the semiconductor substrate is amorphized, by ion bombardment for example, so as to inhibit subsequent diffusion of the dopant ions during thermal annealing. Low thermal budgets are favored for the activation and polysilicon regrowth to ensure an abrupt doping profile for the source/drain regions. As a consequence an upper portion (10b) of the gate electrode remains amorphous. The upper portion of the gate electrode is removed so as to allow a low resistance contact to be made with the polysilicon lower portion (10a).
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: September 7, 2010
    Assignee: NXP B.V.
    Inventor: Bartlomiej J. Pawlak
  • Patent number: 7781316
    Abstract: A method of manufacturing a microelectronic device including forming a dielectric layer surrounding a dummy feature located over a substrate, removing the dummy feature to form an opening in the dielectric layer, and forming a metal-silicide layer conforming to the opening by a metal deposition process employing a target which includes metal and silicon. The metal-silicide layer may then be annealed.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: August 24, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Tung Lin, Chih-Wei Chang, Chii-Ming Wu, Mei-Yun Wang, Chiang-Ming Chuang, Shau-Lin Shue
  • Patent number: 7767580
    Abstract: A method for fabricating a semiconductor device, including forming a gate insulating layer and a gate electrode on a substrate; forming insulating layer sidewalls at sides of the gate electrode; forming source/drain regions in surface portions of the substrate that are located, respectively, at sides of the gate electrode; forming a conductive silicide layer on the entire surface of the substrate; and selectively removing the silicide layer from areas other than the gate electrode and the source/drain regions of the substrate. The conductive silicide layer may be made by forming a silicon layer on an entire surface of the substrate; forming a conductive layer on the silicon layer; and thermal-processing the substrate such that the conductive layer reacts with the silicon layer.
    Type: Grant
    Filed: July 27, 2006
    Date of Patent: August 3, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Han Choon Lee
  • Publication number: 20100190338
    Abstract: An insulator layer is formed on a part of semiconductor substrate to form an isolation layer that insulates and separates active elements from each other in the first region, and to form a dummy portion which is composed of a base material of the semiconductor substrate exposed in the insulator layer in a second region. Active elements are formed in the first region. A silicide layer is formed on the first and second regions excluding at least a portion in which the TSV electrode should be formed. At least one TSV hole extending from a reverse surface side of the semiconductor substrate to an electrode pad via the second region is formed. A conductive film is formed on the inner wall of the TSV hole to form a TSV electrode electrically connected to the electrode pad.
    Type: Application
    Filed: January 22, 2010
    Publication date: July 29, 2010
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventors: Osamu Koike, Yutaka Kadogawa
  • Patent number: 7763540
    Abstract: A method for fabricating a semiconductor device includes forming a silicided gate utilizing a CMP stack. The CMP stack includes a first liner formed over the underlying semiconductor device and a first dielectric layer formed over the first liner layer. The first dielectric layer is formed to approximately the height of the gate. A second liner layer is formed over the first dielectric layer. Since the first dielectric layer is formed to approximately the height of the gate, the second liner over the moat regions is at approximately the height of the first liner over the gate. A CMP process is performed to expose the first liner over the top of the gate. Since the first dielectric layer is formed to the height of the gate, a portion of the second liner remains over the moat regions after the CMP process. Afterwards, the gate is exposed and a silicidation is performed to create a silicided gate.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: July 27, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Frank Scott Johnson, Freidoon Mehrad
  • Patent number: 7763532
    Abstract: When forming line structures of semiconductor devices in accordance with the 90 nm technology, sidewall spacers of the lines are reduced in size immediately prior to the deposition of an etch stop layer that is formed on the device layer. Due to the reduced spacer elements or due to a complete removal of the spacer elements, the subsequent deposition of the etch stop layer and of the interlayer dielectric is significantly enhanced with respect to void formation and defect rate.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: July 27, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Matthias Schaller, Roberto Klinger
  • Patent number: 7754554
    Abstract: Methods for fabricating low contact resistance CMOS integrated circuits are provided. In accordance with an embodiment, a method for fabricating a CMOS integrated circuit including an NMOS transistor and a PMOS transistor disposed in and on a silicon-comprising substrate includes depositing a first silicide-forming metal on the NMOS and PMOS transistors. The first silicide-forming metal forms a silicide at a first temperature. At least a portion of the first silicide-forming metal is removed from the NMOS or PMOS transistor and a second silicide-forming metal is deposited. The second silicide-forming metal forms a silicide at a second temperature that is different from the first temperature. The first silicide-forming metal and the second silicide-forming metal are heated at a temperature that is no less than the higher of the first temperature and the second temperature.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: July 13, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Igor Peidous, Patrick Press, Paul R. Besser
  • Patent number: 7754600
    Abstract: Various embodiments of the present invention are directed to methods of forming nanostructures on non-single crystal substrates, and resulting nanostructures and nanoscale functional devices. In one embodiment of the present invention, a method of forming nanostructures includes forming a multi-layer structure comprising a metallic layer and a silicon layer. The multi-layer structure is subjected to a thermal process to form metal-silicide crystallites. The nanostructures are grown on the metal-silicide crystallites. In another embodiment of the present invention, a structure includes a non-single-crystal substrate and a layer formed over the non-single-crystal substrate. The layer includes metal-silicide crystallites. A number of nanostructures may be formed on the metal-silicide crystallites. The disclosed structures may be used to form a number of different types of functional devices for use in electronics and/or optoelectronics devices.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: July 13, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Nobuhiko Kobayashi, Shih-Yuan Wang
  • Publication number: 20100171188
    Abstract: A silicide element separates a single crystal silicon node from an underlying silicon substrate, and is capable of acting as a conductive element for interconnecting devices on the device. The single crystal silicon node can act as one terminal of a diode, and a second semiconductor node on top of it can act as the other terminal of the diode. The single crystal silicon node can act as one of the terminals of the transistor, and second and third semiconductor nodes are formed in series on top of it, providing a vertical transistor structure, which can be configured as a field effect transistor or bipolar junction transistor. The silicide element can be formed by a process that consumes a base of a protruding single crystal element by silicide formation processes, while shielding upper portions of the protruding element from the silicide formation process.
    Type: Application
    Filed: January 7, 2009
    Publication date: July 8, 2010
    Inventors: Hsiang-Lan Lung, Erh-Kun Lai
  • Patent number: 7749905
    Abstract: A vertical FET structure with nanowire forming the FET channels is disclosed. The nanowires are formed over a conductive silicide layer. The nanowires are gated by a surrounding gate. Top and bottom insulator plugs function as gate spacers and reduce the gate-source and gate-drain capacitance.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Guy M. Cohen, Paul M. Solomon
  • Patent number: 7750471
    Abstract: Methods and apparatus relating to a single silicon wafer having metal and alloy silicides are described. In one embodiment, two different silicides may be provided on the same wafer. Other embodiments are also disclosed.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: July 6, 2010
    Assignee: Intel Corporation
    Inventor: Pushkar Ranade
  • Patent number: 7749898
    Abstract: A method for forming an interconnect structure includes forming a dielectric layer above a first layer having a conductive region defined therein. An opening is defined in the dielectric layer to expose at least a portion of the conductive region. A metal silicide is formed in the opening to define the interconnect structure. A semiconductor device includes a first layer having a conductive region defined therein, a dielectric layer formed above the first layer, and a metal silicide interconnect structure extending through the dielectric layer to communicate with the conductive region.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: July 6, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Paul R. Besser, Christian Lavoie, Cyril Cabral, Jr., Stephen M. Rossnagel, Kenneth P. Rodbell
  • Patent number: 7745334
    Abstract: By performing sophisticated anneal techniques, such as laser anneal, flash anneal and the like, for a metal silicide formation, such as nickel silicide, the risk of nickel silicide defects in sensitive device regions, such as SRAM pass gates, may be significantly reduced. Also, the activation of dopants may be performed in a highly localized manner, so that undue damage of gate insulation layers may be avoided when activating and re-crystallizing drain and source regions.
    Type: Grant
    Filed: April 18, 2007
    Date of Patent: June 29, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Patrick Press, Karla Romero, Martin Trentzsch, Karsten Wieczorek, Thomas Feudel, Markus Lenski, Rolf Stephan
  • Publication number: 20100155859
    Abstract: A method of self-aligned silicidation on structures having high aspect ratios involves depositing a metal oxide film using atomic layer deposition (ALD) and converting the metal oxide film to metal film in order to obtain uniform step coverage. The substrate is then annealed such that the metal in regions directly overlying the patterned and exposed silicon reacts with the silicon to form uniform metal silicide at the desired locations.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 24, 2010
    Inventor: Ivo Raaijmakers