Including Change In Etch Influencing Parameter (e.g., Energizing Power, Etchant Composition, Temperature, Etc.) Patents (Class 438/714)
  • Patent number: 8598040
    Abstract: A method for etching features in a plurality of silicon based bilayers forming a stack on a wafer in a plasma processing chamber is provided. A main etch gas is flowed into the plasma processing chamber. The main etch gas is formed into a plasma, while providing a first pressure. A wafer temperature of less than 20° C. is maintained. The pressure is ramped to a second pressure less than the first pressure as the plasma etches through a plurality of the plurality of silicon based bilayers. The flow of the main etch gas is stopped after a first plurality of the plurality of bilayers is etched.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: December 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Anne Le Gouil, Jeffrey R. Lindain, Yasushi Ishikawa, Yoko Yamaguchi-Adams
  • Patent number: 8592319
    Abstract: A substrate processing apparatus includes a chamber accommodating a wafer, a susceptor disposed inside the chamber and on which the wafer is held, an upper electrode facing the susceptor, and a second high frequency power source connected to the susceptor, wherein the upper electrode is electrically connected to a ground and is moveable with respect to the susceptor. The substrate processing apparatus divides a potential difference between plasma generated in a processing space and the ground into a potential difference between the plasma and a dielectric and a potential difference between the dielectric and the ground by burying the dielectric in the upper electrode, and changes a gap between the upper electrode and the susceptor. Accordingly, plasma density between the upper electrode and the susceptor is changed.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: November 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Nobuhiro Wada, Makoto Kobayashi, Hiroshi Tsujimoto, Jun Tamura, Mamoru Naoi, Jun Oyabu
  • Patent number: 8591755
    Abstract: A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Publication number: 20130302993
    Abstract: A semiconductor device manufacturing method includes a plasma etching step for etching an etching target film formed on a substrate accommodated in a processing chamber. In the plasma etching step, a processing gas including a gaseous mixture containing predetermined gases is supplied into the processing chamber, and a cycle including a first step in which a flow rate of at least one of the predetermined gases is set to a first value during a first time period and a second step in which the flow rate thereof is set to a second value that is different from the first value during a second time period is repeated consecutively at least three times without removing a plasma. The first time period and the second time period are set to about 1 to 15 seconds.
    Type: Application
    Filed: July 22, 2013
    Publication date: November 14, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masato KUSHIBIKI, Eiichi NISHIMURA
  • Publication number: 20130302975
    Abstract: A FinFET device may include a first semiconductor fin laterally adjacent a second semiconductor fin. The first semiconductor fin and the second semiconductor fin may have profiles to minimize defects and deformation. The first semiconductor fin comprises an upper portion and a lower portion. The lower portion of the first semiconductor fin may have a flared profile that is wider at the bottom than the upper portion of the first semiconductor fin. The second semiconductor fin comprises an upper portion and a lower portion. The lower portion of the second semiconductor fin may have a flared profile that is wider than the upper portion of the second semiconductor fin, but less than the lower portion of the first semiconductor fin.
    Type: Application
    Filed: July 15, 2013
    Publication date: November 14, 2013
    Inventors: Chia-Wei Chang, Chih-Fang Liu, Chih-Tang Peng, Tai-Chun Huang, Ryan Chia-Jen Chen
  • Publication number: 20130302992
    Abstract: An apparatus for plasma treatment contains a process vessel provided with a mounting table for mounting a substrate, a first gas supplying unit configured to supply a first gas into the process vessel, a first plasma generating unit configured to convert at least a part of the first gas to a first plasma, a second gas supplying unit configured to supply a second gas into the process vessel, and a second plasma generating unit configured to convert at least a part of the second gas to a second plasma. A height of ea an inlet of the second gas from the mounting table is lower than a height of an inlet of the first gas from the mounting table.
    Type: Application
    Filed: November 16, 2011
    Publication date: November 14, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshihisa Nozawa, Caizhong Tian, Masaru Sasaki, Naoki Mihara, Naoki Matsumoto, Kazuki Moyama, Jun Yoshikawa
  • Publication number: 20130295773
    Abstract: Embodiments of the invention may include first providing a stack of layers including a semiconductor substrate, a buried oxide layer on the semiconductor substrate, a semiconductor-on-insulator layer on the buried-oxide layer, a nitride layer on the semiconductor-on-insulator layer, and a silicon oxide layer on the nitride layer. A first opening and second opening with a smaller cross-sectional area than the first opening are then formed in the silicon oxide layer, the nitride layer, the semiconductor-on-insulator layer, and the buried-oxide layer. The first opening and the second opening are then etched with a first etching gas. The first opening and the second opening are then etched with a second etching gas, which includes the first etching gas and a halogenated silicon compound, for example, silicon tetrafluoride or silicon tetrachloride. In one embodiment, the first etching gas includes hydrogen bromide, nitrogen trifluoride, and oxygen.
    Type: Application
    Filed: April 18, 2013
    Publication date: November 7, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Habib Hichri, Xi Li, Richard Wise
  • Patent number: 8569179
    Abstract: A method of etching or removing an amorphous carbon organic hardmask overlying a low dielectric constant film in a lithographic process. The method includes providing a dielectric film having thereover an amorphous carbon organic hardmask to be removed, the dielectric film having a dielectric constant no greater than about 4.0, introducing over the amorphous carbon organic hardmask an ionizable gas comprising a mixture of hydrogen and an oxidizing gas, and applying energy to the mixture to create a plasma of the mixture. The method further includes contacting the amorphous carbon organic hardmask with the plasma, with the amorphous carbon organic hardmask being at a temperature in excess of 200° C., to remove the amorphous carbon organic hardmask without substantially harming the underlying substrate.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: October 29, 2013
    Assignee: Novellus Systems, Inc.
    Inventor: Wesley P. Graff
  • Patent number: 8569176
    Abstract: Disclosed is a substrate processing method configured to prevent the occurrence of a bowing shape to form a hole of a vertical processing shape on a mask layer, and to secure a remaining layer quantity as the mask layer. The substrate processing method receives a wafer W in which a mask layer and an intermediate layer are stacked on a target layer to be processed in a chamber, generates plasma of processing gas in the chamber, performs an etching process on wafer W using the plasma, thereby forming a pattern shape on the target layer to be processed through the intermediate layer and the mask layer. The etching process etches the mask layer by applying excitation power of 500 W for generating plasma, maintaining processing pressure at 5 mTorr (9.31×10?1 Pa) or less, and maintain temperature of wafer W in the range of ?10° C. to ?20° C.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: October 29, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Akira Nakagawa, Yusuke Okazaki, Yoshinobu Hayakawa
  • Publication number: 20130280915
    Abstract: There is provided a plasma processing method capable of carrying out a stable plasma process by way of improving plasma stabilization and also capable of increasing lifetime of a variable capacitor in a matching unit, as compared to a conventional case. The plasma processing method comprises performing a power modulation that periodically switches the high frequency power from the high frequency power supply between a first power and a second power higher than the first power, and performing a mask control that stops a matching operation of the matching unit for an application time of the first power and for a preset time after an application of the second power is started.
    Type: Application
    Filed: June 18, 2013
    Publication date: October 24, 2013
    Inventors: Genki Koguchi, Akio Morisaki, Yukinori Hanada
  • Patent number: 8557675
    Abstract: Disclosed herein are methods of patterning features in a structure, such as a layer of material used in forming integrated circuit devices or in a semiconducting substrate, using a multiple sidewall image transfer technique. In one example, the method includes forming a first mandrel above a structure, forming a plurality of first spacers adjacent the first mandrel, forming a plurality of second mandrels adjacent one of the first spacers, and forming a plurality of second spacers adjacent one of the second mandrels. The method also includes performing at least one etching process to selectively remove the first mandrel and the second mandrels relative to the first spacers and the second spacers and thereby define an etch mask comprised of the first spacers and the second spacer and performing at least one etching process through the etch mask on the structure to define a plurality of features in the structure.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: October 15, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Nicholas V. LiCausi
  • Patent number: 8557710
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of metal-containing material is described. In particular, the GCIB etch processing includes forming a GCIB that contains a halogen element.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: October 15, 2013
    Assignee: TEL Epion Inc.
    Inventors: Yan Shao, Martin D. Tabat, Christopher K. Olsen, Ruairidh Maccrimmon
  • Patent number: 8557613
    Abstract: A method for designing, fabricating, and predicting a desired structure in and/or on a host material through defining etch masks and etching the host material is provided. The desired structure can be micro- or nanoscale structures, such as suspended nanowires and corresponding supporting pillars, and can be defined one layer at a time. Arbitrary desired structures can also be defined and obtained through etching. Further, given the desired structure, a starting structure can be predicted where etching of the starting structure yields the desired structure.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: October 15, 2013
    Assignee: California Institute of Technology
    Inventors: Michael Shearn, Michael David Henry, Axel Scherer
  • Patent number: 8546265
    Abstract: A method for manufacturing a silicon structure according to the present invention includes, in a so-called dry-etching process wherein gas-switching is employed, the steps of: etching a portion in the silicon region at a highest etching rate under a high-rate etching condition such that the portion does not reach the etch stop layer; subsequently etching under a transition etching condition in which an etching rate is decreased with time from the highest etching rate in the high-rate etching condition; and thereafter, etching the silicon region under a low-rate etching condition of a lowest etching rate in the transition etching condition.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: October 1, 2013
    Assignee: SPP Technologies Co., Ltd.
    Inventors: Yoshiyuki Nozawa, Takashi Yamamoto
  • Patent number: 8546266
    Abstract: The invention provides a plasma processing apparatus and a dry etching method for etching a multilayered film structure having steps with high accuracy. The plasma processing apparatus comprises a vacuum reactor 107, a lower electrode 113 placed within a processing chamber of the vacuum reactor and having a wafer 112 to be etched mounted on the upper surface thereof, bias supplying units 118 and 120 for supplying high frequency power for forming a bias potential to the lower electrode 113, a gas supply means 111 for feeding reactive gas into the processing chamber, an electric field supplying means 101 through 103 for supplying a magnetic field for generating plasma in the processing chamber, and a control unit 127 for controlling the distribution of ion energy in the plasma being incident on the wafer 112 via the high frequency power.
    Type: Grant
    Filed: August 18, 2011
    Date of Patent: October 1, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahito Mori, Naoyuki Kofuji, Naoshi Itabashi
  • Patent number: 8536059
    Abstract: Etching equipment and methods are disclosed herein for more efficient etching of sacrificial material from between permanent MEMS structures. An etching head includes an elongate etchant inlet structure, which may be slot-shaped or an elongate distribution of inlet holes. A substrate is supported in proximity to the etching head in a manner that defines a flow path substantially parallel to the substrate face, and permits relative motion for the etching head to scan across the substrate.
    Type: Grant
    Filed: February 18, 2008
    Date of Patent: September 17, 2013
    Assignee: QUALCOMM MEMS Technologies, Inc.
    Inventors: Khurshid Syed Alam, Evgeni Gousev, Marc Maurice Mignard, David Heald, Ana R. Londergan, Philip Don Floyd
  • Patent number: 8529783
    Abstract: A method for preventing the formation of contaminating polymeric films on the backsides of semiconductor substrates includes providing an oxygen-impregnated focus ring and/or an oxygen-impregnated chuck that releases oxygen during etching operations. The method further provides delivering oxygen gas to the substrate by mixing oxygen in the cooling gas mixture, maintaining the focus ring at a temperature no greater than the substrate temperature during etching and cleaning the substrate using a two step plasma cleaning sequence that includes suspending the substrate above the chuck.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: September 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huang-Ming Chen, Chun-Li Chou, Chao-Cheng Chen, Hun-Jan Tao
  • Publication number: 20130224960
    Abstract: Methods for etching an oxide layer disposed on a substrate through a patterned layer defining one or more features to be etched into the oxide layer are provided herein. In some embodiments, a method for etching an oxide layer disposed on a substrate through a patterned layer defining one or more features to be etched into the oxide layer may include: etching the oxide layer through the patterned layer using a process gas comprising a polymer forming gas and an oxygen containing gas to form the one or more features in the oxide layer; and pulsing at least one of the polymer forming gas or the oxygen containing gas for at least a portion of etching the oxide layer to control a dimension of the one or more features.
    Type: Application
    Filed: October 27, 2011
    Publication date: August 29, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jairaj Payyapilly, Jong Mun Kim, Kenny Doan, Li Ling
  • Patent number: 8512584
    Abstract: An etching method that uses an etch reactant retained within at least a semi-solid media (120, 220, 224, 230). The etch reactant media is applied to selectively etch a surface layer (106, 218, 222). The etch reactant media may be applied to remove metal shorts (222), smearing and eaves resulting from CMP or in failure analysis for uniform removal of a metal layer (218) without damaging the vias, contact, or underlying structures.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: August 20, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Darwin Rusli
  • Patent number: 8501630
    Abstract: A method for selectively etching a substrate is described. The method includes preparing a substrate comprising a silicon nitride layer overlying a silicon-containing contact region, and patterning the silicon nitride layer to expose the silicon-containing contact region using a plasma etching process in a plasma etching system. The plasma etching process uses a process composition having as incipient ingredients a process gas containing C, H and F, and a non-oxygen-containing additive gas, wherein the non-oxygen-containing additive gas includes H, or C, or both H and C, and excludes a halogen atom.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: August 6, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Andrew W. Metz, Hongyun Cottle
  • Patent number: 8501608
    Abstract: The present invention relates to a method for processing semiconductor devices with a fine structure, and more particularly, to a processing method suitable for miniaturizing semiconductor devices with a so-called high-k/metal gate structure. In an embodiment of the present invention, a deposited film, which includes an insulating film made of Hf or Zr and a material of Mg, Y or Al existing on, under or in the insulating film, is formed on a Si substrate and is removed by repeating a dry etching process and a wet etching process at least one time. The wet etching process is performed prior to the dry etching process.
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: August 6, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tetsuo Ono, Tetsu Morooka
  • Patent number: 8501624
    Abstract: An ion source that utilizes exited and/or atomic gas injection is disclosed. In an ion beam application, the source gas can be used directly, as it is traditionally supplied. Alternatively or additionally, the source gas can be altered by passing it through a remote plasma source prior to being introduced to the ion source chamber. This can be used to create excited neutrals, heavy ions, metastable molecules or multiply charged ions. In another embodiment, multiple gasses are used, where one or more of the gasses are passed through a remote plasma generator. In certain embodiments, the gasses are combined in a single plasma generator before being supplied to the ion source chamber. In plasma immersion applications, plasma is injected into the process chamber through one or more additional gas injection locations. These injection locations allow the influx of additional plasma, produced by remote plasma sources external to the process chamber.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: August 6, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Bon-Woong Koo, Victor Benveniste, Christopher A. Rowland, Craig R. Chaney, Frank Sinclair, Neil J. Bassom
  • Patent number: 8501620
    Abstract: Top-down methods of increasing reflectivity of tungsten films to form films having high reflectivity, low resistivity and low roughness are provided. The methods involve bulk deposition of tungsten followed by a removing a top portion of the deposited tungsten. In particular embodiments, removing a top portion of the deposited tungsten involve exposing it to a fluorine-containing plasma. The methods produce low resistivity tungsten bulk layers having lower roughness and higher reflectivity. The smooth and highly reflective tungsten layers are easier to photopattern than conventional low resistivity tungsten films. Applications include forming tungsten bit lines.
    Type: Grant
    Filed: March 5, 2012
    Date of Patent: August 6, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Anand Chandrashekar, Raashina Humayun
  • Patent number: 8497213
    Abstract: The invention provides a method for subjecting laminated thin films disposed below a photoresist mask pattern to plasma processing, wherein the roughness on the side walls of the formed pattern is reduced, and the LER and LWR are reduced. When etching a material to be processed to form a gate electrode including thin films such as a gate insulating film 205, a conducting layer 204, a mask layer 203 and an antireflection film 202 laminated on a semiconductor substrate 206 and a photoresist mask pattern 201 disposed on the antireflection film, prior to etching the mask pattern 201, plasma is generated from nitrogen gas or a mixed gas including nitrogen gas and deposition gas to subject the mask pattern 201 to a plasma curing process so as to reduce the roughness on the surface and side walls of the mask pattern 201, and then the laminated thin films 202, 203 and 204 disposed below the mask pattern 201 are subjected to a plasma etching process.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: July 30, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoki Yasui, Seiichi Watanabe
  • Patent number: 8492287
    Abstract: A silicon-containing film on a substrate is subjected to a plasma process using a process gas containing fluorine and carbon, and is thereafter subjected to plasma process using an ammonia gas, whereby ammonium silicofluoride having toxicity and hygroscopic property is adhered to the substrate. The harmful ammonium silicofluoride is removed by the inventive method. After conducting the plasma process using an ammonia gas, the substrate is heated to a temperature not lower than the decomposition temperature of the ammonium silicofluoride to decompose the ammonium silicofluoride in a process container in which the plasma process was conducted, or in a process container connected with the processing vessel which the plasma process was conducted therein and is isolated from a clean room atmosphere.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: July 23, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Shigeru Tahara
  • Patent number: 8492284
    Abstract: Embodiments provide methods for etching and depositing silicon materials on a substrate. In one example, the method includes heating a substrate containing a silicon-containing material to a temperature of about 800° C. or less and removing a portion of the silicon-containing material and a contaminant to reveal an exposed surface of the silicon-containing material during an etching process and depositing a silicon-containing layer on the exposed surface of the silicon-containing material during a deposition process. The method further provides conducting the etching and deposition processes in the same chamber and utilizing chlorine gas and a silicon source gas during the etching and deposition processes. In some examples, the silicon-containing material is removed at a rate within a range from about 2 ? per minute to about 20 ? per minute during the etching process.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: July 23, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Arkadii Samoilov
  • Patent number: 8492285
    Abstract: A dry etching method for texturing a surface of a substrate is disclosed. The method includes performing a first dry etching onto the surface of the substrate thereby forming a surface texture with spikes and valleys, the first dry etching comprising etching the surface of the substrate in a plasma comprising fluorine (F) radicals and oxygen (O) radicals, wherein the plasma comprises an excess of oxygen (O) radicals. The method may further include performing a second dry etching onto the surface texture thereby smoothening the surface texture, the second dry etching comprising chemical isotropic etching the surface texture, obtained after the first dry etching, in a plasma comprising fluorine (F) radicals, wherein the spikes are etched substantially faster than the valleys.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: July 23, 2013
    Assignee: IMEC
    Inventor: Boon Teik Chan
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8461052
    Abstract: In a process for forming trenches having M different widths in a substrate, a passivation step and an etching step are alternately performed. The passivation step includes depositing a passivation layer on a bottom of the trenches by converting gas introduced in a chamber into plasma. The etching step includes removing the passivation layer on the bottom of the trenches and applying reactive ion etching to the bottom to increase a depth of the trenches. The etching step further includes setting energy for the reactive ion etching to a predetermined value when the passivation layer on the bottom of the trench having the Nth smallest width is removed. The value allows the etching amount of the trench having the Nth smallest width to be equal to or greater than the etching amount of the trench having the (N+1)th smallest width.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: June 11, 2013
    Assignee: DENSO CORPORATION
    Inventors: Junji Oohara, Kazushi Asami
  • Patent number: 8460508
    Abstract: Synchronous pulse plasma etching equipment includes a first electrode and one or more second electrodes configured to generate plasma in a plasma etching chamber. A first radio frequency power output unit is configured to apply a first radio frequency power having a first frequency and a first duty ratio to the first electrode, and to output a control signal including information about a phase of the first radio frequency power. At least one second radio frequency power output unit is configured to apply a second radio frequency power having a second frequency and a second duty ratio to a corresponding second electrode among the second electrodes. The second radio frequency power output unit is configured to control the second radio frequency power to be synchronized with the first radio frequency power or to have a phase difference from the first radio frequency power in response to the control signal.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: June 11, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ken Tokashiki, Hong Cho, Jeong-Dong Choe
  • Patent number: 8460567
    Abstract: A method and system for etching a substrate is described and, in particular, a method for etching large, high aspect ratio features, such as those in micro-electromechanical devices (MEMs), is also described. The method comprises disposing a substrate in a processing system, forming plasma having a substantial population of negatively-charged ions, and etching one or more features in the substrate using the negative ion population.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: June 11, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Lee Chen
  • Publication number: 20130137274
    Abstract: There is provided a substrate processing method to suppress popping while increasing the throughput in a photoresist removing process. The substrate processing method comprises: loading a substrate, which is coated with photoresist into which a dopant is introduced, into a process chamber; heating the substrate; supplying a reaction gas to the process chamber, wherein the reaction gas contains at least oxygen and hydrogen components, and concentration of the hydrogen component ranges from 60% to 70%; and processing the substrate in a state where the reaction gas is excited into plasma. In the heating of the substrate, the substrate may be heated to 220° C. to 300° C. In the heating of the substrate, the substrate may be heated to 250° C. to 300° C.
    Type: Application
    Filed: November 26, 2012
    Publication date: May 30, 2013
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventor: HITACHI-KOKUSAI ELECTRIC INC.
  • Patent number: 8450215
    Abstract: An inspection method comprises focusing a particle beam onto a sample; operating at least one detector located close to the sample; assigning detection signals generated by the at least one detector to different intensity intervals; determining, based on the detection signals assigned to the intensity intervals, at least one first signal component related to electrons incident on the detector; and determining, based on the detection signals assigned to the intensity intervals, at least one second signal component related to X-rays incident on the detector.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: May 28, 2013
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Hubert Mantz, Rainer Arnold, Michael Albiez
  • Patent number: 8450135
    Abstract: A manufacturing method of pixel structure includes: sequentially forming a gate, a gate insulation layer, a semiconductor layer and a conductive layer on a substrate; forming a first patterned photoresist layer including multiple first photoresist blocks and multiple second photoresist blocks on the conductive layer; reducing the thickness of the first patterned photoresist layer until the second photoresist blocks are completely removed; forming a pixel electrode layer and a second photoresist layer on a partial pixel electrode layer; removing a part of the pixel electrode layer exposed by the second photoresist layer, a partial conductive layer and a partial semiconductor layer both under the removed pixel electrode layer to define a first electrode block, a second electrode block and a channel region; removing the remained first patterned photoresist layer and second photoresist layer and forming a protective layer and a common electrode layer on a part of the protective layer.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: May 28, 2013
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Yuan-Hsin Tsou
  • Patent number: 8445381
    Abstract: A method of making a semiconductor structure comprises forming an oxide layer on a substrate; forming a silicon nitride layer on the oxide layer; annealing the layers in NO; and annealing the layers in ammonia. The equivalent oxide thickness of the oxide layer and the silicon nitride layer together is at most 25 Angstroms.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: May 21, 2013
    Assignee: Cypress Semiconductor Corporation
    Inventors: Krishnaswamy Ramkumar, Sundar Narayanan
  • Publication number: 20130122712
    Abstract: Methods of etching HAR features in a dielectric layer are described. In one embodiment, a substrate is provided into an etch chamber. The substrate has a patterned mask disposed on a dielectric layer formed thereon where the patterned mask has openings. A gas mixture is provided into the etch chamber, the gas mixture includes CO, O2, a fluorocarbon gas, and an optional inert gas. A plasma is formed from the gas mixture.
    Type: Application
    Filed: October 19, 2012
    Publication date: May 16, 2013
    Inventors: Jong Mun KIM, Kenny Linh Doan, Li Ling, Jairaj Payyapilly, Daisuke Shimuzu, Srinivas D. Nemani, Thorsten B. Lill
  • Patent number: 8440568
    Abstract: The etching method includes etching the silicon oxide film by supplying a halogen-containing gas and a basic gas to the substrate so that the silicon oxide film is chemically reacted with the halogen-containing gas and the basic gas to generate a condensation layer; etching silicon by supplying a silicon etching gas, which includes at least one selected from the group consisting of an F2 gas, an XeF2 gas, and a ClF3 gas, to the substrate; and after the etching of the silicon oxide film and the etching of the silicon, heating and removing the condensation layer from the substrate.
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: May 14, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Hajime Ugajin
  • Patent number: 8435419
    Abstract: Methods of processing substrates having metal layers are provided herein. In some embodiments, a method of processing a substrate comprising a metal layer having a patterned mask layer disposed above the metal layer, the method may include etching the metal layer through the patterned mask layer; and removing the patterned mask layer using a first plasma formed from a first process gas comprising oxygen (O2) and a carbohydrate. In some embodiments, a two step method with an additional second process gas comprising chlorine (Cl2) or a sulfur (S) containing gas, may provide an efficient way to remove patterned mask residue.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: May 7, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Guowen Ding, Herrick Ng, Teh-Tien Sue, Benjamin Schwarz, Zhuang Li
  • Patent number: 8435901
    Abstract: A method of patterning an insulation layer is described. The method includes preparing a film stack on a substrate, wherein the film stack comprises a cap layer, a SiCOH-containing layer overlying the cap layer, and a hard mask overlying the SiCOH-containing layer. The method further includes transferring a pattern through the film stack by performing a series of etch processes in a plasma etching system, wherein the series of etch processes utilize a temperature controlled substrate holder in the plasma etching system according to a substrate temperature control scheme that achieves etch selectivity between the SiCOH-containing layer and the underlying cap layer.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: May 7, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Kelvin Zin
  • Patent number: 8435895
    Abstract: Methods are provided for cleaning metal regions overlying semiconductor substrates. A method for removing material from a metal region comprises heating the metal region, forming a plasma from a gas comprising hydrogen and carbon dioxide, and exposing the metal region to the plasma.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: May 7, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: David Chen, Haruhiro Harry Goto, Martina Martina, Frank Greer, Shamsuddin Alokozai
  • Publication number: 20130109190
    Abstract: Ultrathin material layers are plasma etched with an etch system configured for cryogenic cooling of a substrate to reduce the diffusion coefficients of foreign and intrinsic stop layer atoms (e.g., of the bombarded crystal lattice), and further configured for plasma pulsing to reduce the energy of the impinging ions with cryogenic wafer temperatures. Substrate temperatures of ?50° C. or more are employed to reduce the susceptibility of a stop layer material to damage associated with ion impact. Ion energy is reduced to below the threshold where stop layer lattice atoms are displaced or ions are implanted into the bulk lattice. In embodiments, a plasma of an etchant gas having ion energies less than 10 eV are achieved through plasma pulsing, which when directed at the low temperature substrate may controllably etch ultra-thin material layers.
    Type: Application
    Filed: October 17, 2012
    Publication date: May 2, 2013
    Inventors: Thorsten LILL, Klaus SCHUEGRAF, Dmitry LUBOMIRSKY
  • Patent number: 8426317
    Abstract: An optimum application voltage for reducing deposits on a peripheral portion of a substrate as well as improving a process result in balance is effectively found without changing a height of a focus ring. A plasma processing apparatus includes a focus ring which includes a dielectric ring provided so as to surround a substrate mounting portion of a mounting table and a conductive ring provided on the dielectric ring; a voltage sensor configured to detect a floating voltage of the conductive ring; a DC power supply configured to apply a DC voltage to the conductive ring. An optimum voltage to be applied to the conductive ring is obtained based on a floating voltage actually detected from the conductive ring, and the optimum application voltage is adjusted based on a variation in the actually detected floating voltage for each plasma process.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: April 23, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 8420547
    Abstract: A plasma processing method performed in a plasma processing apparatus including a processing chamber accommodating a substrate in which a plasma is generated; a mounting table mounting the substrate, which is provided in the processing chamber and to which a plasma attraction high frequency voltage is applied; and a facing electrode provided to face the mounting table in the processing chamber, to which a negative DC voltage is applied, the method including: applying a plasma attraction high frequency voltage to the mounting table for a predetermined period of time; and stopping the application of the plasma attraction high frequency voltage to the mounting table. In the plasma processing method, the application of the plasma attraction high frequency voltage and stopping thereof are alternately repeated.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yoshinobu Ooya
  • Patent number: 8409934
    Abstract: Nano-sized materials and/or polysilicon are formed using heat generated from a micro-heater, the micro-heater may include a substrate, a heating element unit formed on the substrate, and a support structure formed between the substrate and the heating element unit. Two or more of the heating element units may be connected in series.
    Type: Grant
    Filed: May 9, 2008
    Date of Patent: April 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Junhee Choi, Andrei Zoulkarneev, SungSoo Park
  • Patent number: 8409991
    Abstract: A large surface substrate (5, 5a) is Rf vacuum plasma treated with the help of an electrode arrangement (9) consisting of an even number of electrode strips (9a, 9b). At least one of the strips is Rf supplied at least two distinct loci (P1, P2) along the central axis (A) of the addressed strip (9a).
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: April 2, 2013
    Assignee: Oerlikon Solar AG, Trubbach
    Inventors: Stephan Jost, Andreas Belinger
  • Publication number: 20130078815
    Abstract: A method for forming a semiconductor structure with reduced line edge roughness is provided, including: providing a device layer with a patterned photoresist layer formed thereon; and performing a plasma etching process to pattern the device layer with the patterned photoresist layer formed thereon, forming a patterned device layer, wherein the plasma etching process is operated under a continuous on-stage voltage provided with a relative higher frequency and an on-off stage voltage with pulsing modulation provided with a relative lower frequency.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 28, 2013
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Chang-Ming Wu, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8404598
    Abstract: Methods for processing a substrate are provided herein. In some embodiments, a method of etching a dielectric layer includes generating a plasma by pulsing a first RF source signal having a first duty cycle; applying a second RF bias signal having a second duty cycle to the plasma; applying a third RF bias signal having a third duty cycle to the plasma, wherein the first, second, and third signals are synchronized; adjusting a phase variance between the first RF source signal and at least one of the second or third RF bias signals to control at least one of plasma ion density non-uniformity in the plasma or charge build-up on the dielectric layer; and etching the dielectric layer with the plasma.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: March 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Bryan Liao, Katsumasa Kawasaki, Yashaswini Pattar, Sergio Fukuda Shoji, Duy D. Nguyen, Kartik Ramaswamy, Ankur Agarwal, Phillip Stout, Shahid Rauf
  • Patent number: 8404597
    Abstract: A method for etching a layer assembly, the layer assembly including an intermediate layer sandwiched between an etch layer and a stop layer, the method including a step of etching the etch layer using a first etchant and a step of etching the intermediate layer using a second etchant. The first etchant includes a first etch selectivity of at least 5:1 with respect to the etch layer and the intermediate layer. The second etchant includes a second etch selectivity of at least 5:1 with respect to the intermediate layer and the stop layer. The first etchant being different from the second etchant.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: March 26, 2013
    Assignee: Infineon Technologies AG
    Inventors: Lothar Brencher, Dirk Meinhold, Michael Hartenberger, Georg Seidemann, Wolfgang Dickenscheld
  • Patent number: RE44292
    Abstract: There are included steps of forming a silicon nitride layer on a silicon layer or a silicon oxide layer, loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere, and selectively etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine gas consisting of any one of CH2F2, CH3F, or CHF3 and an inert gas to the dry etching atmosphere. Hence, in the etching process of the silicon nitride layer, the etching selectivity of the silicon nitride layer to Si or SiO2 can be enhanced and also etching anisotropy can be enhanced.
    Type: Grant
    Filed: April 23, 2004
    Date of Patent: June 11, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tadashi Oshima