Silicon Patents (Class 438/719)
  • Patent number: 8008209
    Abstract: A technique is described whereby temperature gradients are created within a semiconductor wafer. Temperature sensitive etching and/or deposition processes are then employed. These temperature sensitive processes proceed at different rates in regions with different temperatures. To reduce pinch off in etching processes, a temperature sensitive etch process is selected and a temperature gradient is created between the surface and subsurface of a wafer such that the etching process proceeds more slowly at the surface than deeper in the wafer. This reduces “crusting” of solid reaction products at trench openings, thereby eliminating pinch off in many cases. Similar temperature-sensitive deposition processes can be employed to produce void-free high aspect ratio conductors and trench fills.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: August 30, 2011
    Assignee: International Business Machines Corporation
    Inventors: Michael R. Sievers, Kaushik A. Kumar, Andres F. Munoz, Richard Wise
  • Publication number: 20110207332
    Abstract: A plasma processing apparatus used in semiconductor device manufacturing includes a process kit formed of insulating materials such as quartz and coated with a Y2O3 coating. The Y2O3 coating is a thin film formed using suitable CVD or PVD operations. The Y2O3 coating is resistant to degradation in fluorine etching chemistries commonly used to etch silicon in semiconductor manufacturing. The plasma processing apparatus may be used in etching, stripping and cleaning operations. Also provided in another embodiment is a plasma processing apparatus having a quartz process kit coated with a sapphire-like film.
    Type: Application
    Filed: May 12, 2010
    Publication date: August 25, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Shui LIU, Yeh-Chieh WANG, Jiun-Rong PAI
  • Patent number: 7998801
    Abstract: Decrease of the off-state current, increase of the on-state current, and reduction of variations of electrical characteristics. A method for manufacturing a channel-etched inversed staggered thin film transistor includes the following steps: removing, by first dry-etching, a part of a semiconductor layer including an impurity element which imparts one conductivity type, which is exposed from the source and drain electrodes, and partially a part of an amorphous semiconductor layer just below and in contact with the part of the semiconductor layer; removing, by second dry-etching, partially the part of the amorphous semiconductor layer which is exposed by the first dry-etching; and performing plasma treatment on the surface of the part of the amorphous semiconductor layer which is exposed by the second dry-etching so that an altered layer is formed.
    Type: Grant
    Filed: April 16, 2009
    Date of Patent: August 16, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shinya Sasagawa, Motomu Kurata, Sho Osada
  • Patent number: 7989300
    Abstract: A method of manufacturing a semiconductor device includes forming a gate insulating film over a semiconductor substrate, forming a silicon film over the gate insulating film, forming a resist pattern over the silicon film, etching the silicon film to form a protrusion portion of the silicon film, forming a dummy film over the silicon film, etching the dummy film so that the dummy film is partially remained on sidewalls of the protrusion portion, etching the silicon film using the remaining dummy film to form a gate electrode, and performing ion implantation into the semiconductor substrate to form source/drain regions.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: August 2, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Mitsugu Tajima, Takae Sukegawa
  • Patent number: 7960256
    Abstract: In a first aspect, a method of forming an epitaxial film on a substrate is provided. The method includes (a) providing a substrate; (b) exposing the substrate to a silicon source and a carbon source so as to form a carbon-containing silicon epitaxial film; (c) encapsulating the carbon-containing silicon epitaxial film with an encapsulating film; and (d) exposing the substrate to Cl2 so as to etch the encapsulating film. Numerous other aspects are provided.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: June 14, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Yihwan Kim, Xiaowei Li, Ali Zojaji, Nicholas C. Dalida, Jinsong Tang, Xiao Chen, Arkadii V. Samoilov
  • Patent number: 7955987
    Abstract: An exposure mask and a method of forming a contact hole of a semiconductor device using the same, in which micro patterns can be formed are disclosed herein. In an aspect, an exposure mask method includes a mask substrate, a light-shield pattern formed on the mask substrate, and a transparent pattern in which a plurality of patterns, which are limited to the light-shield pattern and have different short-direction widths and long-direction widths, form a group which is repeatedly arranged. Accordingly, micro photoresist patterns can be formed uniformly.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: June 7, 2011
    Assignee: Shin & Kim
    Inventor: Cheol Hoon Yang
  • Patent number: 7951725
    Abstract: A translucent solar cell and a manufacturing method thereof are provided. The translucent solar cell at least includes a substrate, a front electrode layer, a photoconductive layer, and a back electrode layer stacked in order. Therein, a plurality of apertures are formed on the front electrode layer. In addition, a plurality of light-transmissive regions are formed on the back electrode layer and further extended in a depth direction so as to reach the plurality of apertures on the front electrode layer. Thus, the projected area of each light-transmissive region is within and smaller than that of the corresponding aperture.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: May 31, 2011
    Assignee: Nexpower Technology Corp.
    Inventors: Chun-Hsiung Lu, Chien-Chung Bi
  • Patent number: 7951722
    Abstract: A double exposure semiconductor process is provided for improved process margin at reduced feature sizes. During a first processing sequence, features defining non-critical dimensions of a polysilicon interconnect structure are formed, while other portions of the polysilicon layer are left un-processed. During a second processing sequence, features that define the critical dimensions of the polysilicon interconnect structure are formed without the need to execute a photoresist trimming procedure. Accordingly, only an etch process is executed, which provides higher resolution processing to create the critical dimensions needed during the second processing sequence.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: May 31, 2011
    Assignee: Xilinx, Inc.
    Inventor: Jonathan Jung-Ching Ho
  • Publication number: 20110111602
    Abstract: Disclosed is a plasma processing method that excels in mass production consistency as it suppresses the flaking of a reaction product deposited on a portion outside the effective range of a Faraday shield in a vacuum vessel. The plasma processing method, which plasma-processes a sample having a layer made of an etch-resistant material by using a plasma processing apparatus having a discharger and a processor, includes a first step of performing an aging process that is to be performed before etching the sample, a second step of performing etching by plasma-processing the layer that is made of an etch-resistant material and formed on the sample, a third step of stabilizing a film deposited on the inner wall of a chamber forming the processor by performing plasma processing after the second step, and an additional step of repeating the second step and the third step.
    Type: Application
    Filed: January 27, 2010
    Publication date: May 12, 2011
    Inventors: Makoto SUYAMA, Takeshi Shimada, Atsushi Yoshida, Yasukiyo Morioka, Kota Tanaka
  • Patent number: 7935602
    Abstract: The invention includes methods of forming isolation regions. An opening can be formed to extend into a semiconductor material, and an upper periphery of the opening can be protected with a liner while a lower periphery is unlined. The unlined portion can then be etched to form a widened region of the opening. Subsequently, the opening can be filled with insulative material to form an isolation region. Transistor devices can then be formed on opposing sides of the isolation region, and electrically isolated from one another with the isolation region. The invention also includes semiconductor constructions containing an electrically insulative isolation structure extending into a semiconductor material, with the structure having a bulbous bottom region and a stem region extending upwardly from the bottom region to a surface of the semiconductor material.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: May 3, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Fred D. Fishburn, Janos Fucsko, T. Earl Allen, Richard H. Lane, Robert J. Hanson, Kevin R. Shea
  • Publication number: 20110097904
    Abstract: A method for repairing damage to a silicon based low-k dielectric layer with organic compounds, where damage replaces a methyl attached to silicon with a hydroxyl attached to silicon is provided. A repair gas comprising CH4 gas is provided. The repair gas is formed into a plasma, while maintaining a pressure below 50 mTorr. Hydroxyl attached to silicon is replaced with methyl from the plasma formed by the repair gas.
    Type: Application
    Filed: October 22, 2009
    Publication date: April 28, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Stephen M. Sirard, Kenji Takeshita, Andrew D. Bailey, III
  • Patent number: 7932184
    Abstract: A method of manufacturing a solar cell module, including: forming a laminated body including a first protective member, a first sealing member having a first melting point, a plurality of solar cells, a second sealing member having a second melting point higher than the first melting point, and the second protective member; heating the first sealing member to a temperature equal to or higher than the first melting point but lower than the second melting point; and heating the second sealing member to a temperature equal to or higher than the second melting point. In forming the laminated body, the second sealing member is arranged to form a surface including a plurality of convex portions faces the first sealing member.
    Type: Grant
    Filed: September 16, 2008
    Date of Patent: April 26, 2011
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Yousuke Ishii
  • Patent number: 7928014
    Abstract: A method for manufacturing a semiconductor device includes: mounting a wafer having an exposed silicon nitride film, on an electrode received in a plasma chamber; dry-cleaning the chamber to remove reaction products accumulated on the wall and ceiling of the chamber, anisotropic-etching the silicon nitride film and an underlying silicon film for patterning; and removing the wafer from the chamber. The method repeats the treatment for a number of semiconductor wafers.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: April 19, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Satoshi Ogino
  • Patent number: 7915167
    Abstract: A method for fabricating a field-effect transistor with a gate completely wrapping around a channel region is described. Ion implantation is used to make the oxide beneath the channel region of the transistor more etchable, thereby allowing the oxide to be removed below the channel region. Atomic layer deposition is used to form a gate dielectric and a metal gate entirely around the channel region once the oxide is removed below the channel region.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: March 29, 2011
    Assignee: Intel Corporation
    Inventors: Marko Radosavljevic, Amlan Majumdar, Suman Datta, Jack Kavalieros, Brian S. Doyle, Justin K. Brask, Robert S. Chau
  • Patent number: 7910481
    Abstract: A method for fabricating a semiconductor device includes forming an interlayer dielectric layer having a plurality of contact holes over a substrate, forming a conductive layer by filling the contact holes to cover the interlayer dielectric layer, performing a first main etch process to partially etch the conductive layer to form a first conductive layer, performing a second main etch process to etch the first conductive layer using an etch gas having a slower etch rate with respect to the first conductive layer than an etch gas used in the first main etch process until an upper surface of the interlayer dielectric layer is exposed to form a second conductive layer, and performing an over-etch process to etch a certain portion of the second conductive layer, and at the same time, to etch a certain portion of the interlayer dielectric layer to form a landing plug.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: March 22, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Soo Park, Seung-In Shin
  • Patent number: 7906432
    Abstract: A method of manufacturing a semiconductor device in which a source contact plug and a drain contact plug are formed. The method includes the steps of etching part of the semiconductor substrate to form a step, thus forming an overlay vernier, and forming a hard mask on the step so that the step is maintained.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: March 15, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kyung Ah Jeong
  • Patent number: 7906434
    Abstract: A semiconductor device manufacturing method includes: depositing a first insulating film and a second insulating film on a substrate sequentially and forming a pattern on the second insulating film; forming a silicon film on the pattern; forming a sidewall made of the silicon film by processing the silicon film until a part of the second insulating film is exposed by use of etch-back; removing the second insulating film; and performing dry etching by use of a fluorocarbon-based gas, to process the first insulating film by using the sidewall as a mask. The processing of the first insulating film includes applying on the substrate a self-bias voltage Vdc that satisfies a relational expression of Vdc<46x?890, where a film thickness of the silicon film that constitutes the sidewall is x nm (19.5?x?22.1).
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: March 15, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Junichi Hashimoto, Mitsuhiro Omura, Yasuyoshi Hyodo, Takamichi Tsuchiya
  • Patent number: 7901586
    Abstract: A method of manufacturing a nanochannel-array and a method of fabricating a nanodot using the nanochannel-array are provided. The nanochannel-array manufacturing method includes: performing first anodizing to form a first alumina layer having a channel array formed by a plurality of cavities on an aluminum substrate; etching the first alumina layer to a predetermined depth and forming a plurality of concave portions on the aluminum substrate, wherein each concave portion corresponds to the bottom of each channel of the first alumina layer; and performing second anodizing to form a second alumina layer having an array of a plurality of channels corresponding to the plurality of concave portions on the aluminum substrate. The array manufacturing method makes it possible to obtain finely ordered cavities and form nanoscale dots using the cavities.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: March 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-kyeong Yoo, Soo-hwan Jeong, Sun-ae Seo, In-sook Kim
  • Patent number: 7902077
    Abstract: A semiconductor device manufacturing method includes: forming an etching mask having a predetermined circuit pattern on a surface of an etching target film disposed on a semiconductor substrate; etching the etching target film through the etching mask to form a groove or hole in the etching target film; removing the etching mask by a process including at least a process using an ozone-containing gas; and recovering damage of the etching target film caused before or in said removing the etching mask, while supplying a predetermined recovery gas.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: March 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Ryuichi Asako, Kaoru Maekawa, Yasushi Fujii
  • Patent number: 7902079
    Abstract: A method for fabricating a recess pattern in a semiconductor device includes defining an active region on a substrate, forming a first mask pattern over the active region in a line type structure, forming a second mask pattern comprising an open region over the active region, the open region exposing a portion where the active region and the first mask pattern intersect, and etching the active region of the substrate exposed by the first and second mask patterns to form recess patterns.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: March 8, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Yong-Soon Jung
  • Patent number: 7902078
    Abstract: A processing method includes a silicon oxide etching process of performing a plasma etching on a target layer mainly made up of silicon, a silicon oxide layer formed on the target layer and a target object having a previously patterned resist layer formed on the silicon oxide layer, the plasma etching of the silicon oxide layer being performed by using the resist layer as a mask; a deposits removing process of removing deposits generated in the silicon oxide etching process and stuck to the target object; and a silicon etching process of performing a plasma etching on the target layer by a plasma generated from a processing gas containing SF6, O2 and SiF4 while using the silicon oxide layer as a mask.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: March 8, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Michiko Nakaya
  • Patent number: 7897008
    Abstract: An apparatus for controlling a plasma etching process includes plasma control structure that can vary a size of a plasma flow passage, vary a speed of plasma flowing through the plasma flow passage, vary plasma concentration flowing through the plasma flow passage, or a combination thereof.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: March 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Ming Chang, Chi-Lun Lu
  • Patent number: 7888267
    Abstract: A method of dry developing a multi-layer mask having a silicon-containing anti-reflective coating (ARC) layer on a substrate is described. The method comprises forming the multi-layer mask on the substrate, wherein the multi-layer mask comprises a lithographic layer overlying the silicon-containing ARC layer. A feature pattern is then formed in the lithographic layer using a lithographic process. Thereafter, the feature pattern is transferred from the lithographic layer to the silicon-containing ARC layer using a dry plasma etching process, wherein the offset in the critical dimension (CD) bias is reduced between nested structures and isolated structures.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: February 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akiteru Ko, Christopher Cole
  • Patent number: 7879735
    Abstract: A cleaning solution and methods of fabricating semiconductor devices using the same are provided. A cleaning solution used for cleaning a silicon surface and methods of fabricating a semiconductor device using the same are also provided. The cleaning solution may include 0.01 to 1 wt % of fluoric acid, 20 to 50 wt % of oxidizer and 50 to 80 wt % of water. The cleaning solution may further include 1 to 20 wt % of acetic acid. The cleaning solution may be used to clean a silicon surface exposed during fabrication processes of a semiconductor device. The cleaning solution may reduce damage of other material layers (e.g., a tungsten layer or a silicon oxide layer) and enable the silicon surface to be selectively etched.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: February 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Chang-Ki Hong, Woo-Gwan Shim
  • Patent number: 7872333
    Abstract: A layer system is described including a silicon layer and a passivation layer which is applied at least regionally to the silicon layer's surface, the passivation layer having a first, at least largely inorganic partial layer and a second partial layer, the second partial layer being made of an organic compound including silicon or containing such a material. In particular, the second partial layer is structured in the form of a “self-assembled monolayer.” Furthermore, a method is described for creating a passivation layer on a silicon layer, a first, inorganic partial layer being created on the silicon layer and a second partial layer, containing an organic compound including silicon or being made thereof, being created at least in certain areas on the first partial layer. Both partial layers form the passivation layer. The described layer system or the described method is particularly suited for creating self-supporting structures in silicon.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: January 18, 2011
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Lutz Mueller, Winfried Bernhard
  • Patent number: 7862731
    Abstract: To form an isolation structure in a semiconductor substrate, at least two trenches are formed with a rib therebetween in the semiconductor substrate, and then the semiconductor material in the area of the trenches and particularly the rib is converted to an electrically insulating material. For example, this is accomplished by thermal oxidation of silicon semiconductor material of the rib.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: January 4, 2011
    Assignee: Conti Temic microelectronic GmbH
    Inventors: Matthias Aikele, Albert Engelhardt, Marcus Frey, Bernhard Schmid, Helmut Seidel
  • Patent number: 7863151
    Abstract: A manufacturing method for manufacturing a super-junction semiconductor device forms an oxide film and a nitride film on an n-type epitaxial layer exhibiting high resistance on an n-type semiconductor substrate exhibiting low resistance. The portion of the nitride film in the scribe region is left unremoved by patterning and an alignment marker is opened through the nitride film. After opening a trench pattern in the oxide film, trenches having a high aspect ratio are formed. The portion of the oxide film outside the scribe region is removed and a p-type epitaxial layer is buried in the trenches. The overgrown p-type epitaxial layer is polished with reference to the nitride film, the polished surface is finished by etching, and the n-type epitaxial layer surface is exposed.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: January 4, 2011
    Assignee: Fuji Electric Systems Co., Ltd.
    Inventor: Manabu Takei
  • Patent number: 7857982
    Abstract: The invention includes methods of etching features into substrates. A plurality of hard mask layers is formed over material of a substrate to be etched. A feature pattern is formed in such layers. A feature is etched only partially into the substrate material using the hard mask layers with the feature pattern therein as a mask. After the partial etching, at least one of the hard mask layers is etched selectively relative to the substrate material and remaining of the hard mask layers. After etching at least one of the hard mask layers, the feature is further etched into the substrate material using at least an innermost of the hard mask layers as a mask. After the further etching, the innermost hard mask layer and any hard mask layers remaining thereover are removed from the substrate, and at least a portion of the feature is incorporated into an integrated circuit.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: December 28, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer Abatchev, Gurtej S. Sandhu, Aaron R. Wilson, Tony Schrock
  • Publication number: 20100323463
    Abstract: A method for manufacturing a substrate for a liquid discharge head provided with a silicon substrate and a supply port, including: providing the silicon substrate having an insulating layer on a first surface and an etching mask layer having a plurality of apertures on a second surface which is a rear surface of the first surface, wherein the insulating layer is provided in a region ranging from a position opposing the apertures to a position opposing a portion between the adjacent apertures of the mask layer; and forming holes by etching a silicon part of the silicon substrate so that an etched region reaches a portion of the insulating layer opposing the apertures, wherein the silicon wall provided between the adjacent holes is etched so that the portion in the first surface side thereof can be thinner than the portion in the second surface side thereof.
    Type: Application
    Filed: May 28, 2010
    Publication date: December 23, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Masataka Kato, Kazuhiro Hayakawa
  • Patent number: 7851370
    Abstract: A patterning method is provided. In the patterning method, a film is formed on a substrate and a pre-layer information is measured. Next, an etching process is performed to etch the film. The etching process includes a main etching step, an etching endpoint detection step, an extension etching step and an over etching step. An extension etching time for performing the extension etching step is set within 10 seconds based on a predetermined correlation between an extension etching time and the pre-layer information, so as to achieve a required film profile.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: December 14, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Lung-En Kuo, Jiunn-Hsiung Liao, Min-Chieh Yang
  • Patent number: 7833430
    Abstract: A method of making a microstructure with thin wall portions (T1-T3) includes a step of performing a first etching process to a material substrate having a laminate structure including a first conductive layer (11) and a second conductive layer (12) having a thickness of the thin wall portions (T1-T3), where the etching is performed from the side of the first conductive layer (11) thereby forming in the second conductive layer (12) pre thin wall portions (T1?-T3?) which has a pair of side surfaces apart from each other in an in-plane direction of the second conductive layer (12) and contact the first conductive layer (11). The method also includes a step of performing a second etching process from the side of the first conductive layer (11) for removing part of the first conductive layer (11) contacting the pre thin wall portions (T1?-T3?) to form the thin wall portions.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: November 16, 2010
    Assignee: Fujitsu Limited
    Inventors: Xiaoyu Mi, Norinao Kouma, Osamu Tsuboi, Masafumi Iwaki, Hisao Okuda, Hiromitsu Soneda, Satoshi Ueda, Ippei Sawaki
  • Patent number: 7828983
    Abstract: The invention provides a process for texturing a surface of a semiconductor material, the process comprising: applying a layer of a protective substance on said surface wherein said layer is sufficiently thin that it has a plurality of apertures therethrough; and contacting said layer and said semiconductor material with an etchant capable of etching said semiconductor material faster than said protective substance, said etchant making contact with said semiconductor material at least through said apertures, for a time and under conditions in which said semiconductor material is etched by said etchant in the vicinity of said apertures to produce a textured surface on said semiconductor material, but said protective substance is substantially unetched.
    Type: Grant
    Filed: November 29, 2002
    Date of Patent: November 9, 2010
    Assignee: Transform Solar Pty Ltd
    Inventors: Klaus Johannes Weber, Andrew William Blakers
  • Publication number: 20100279511
    Abstract: Provided are a wafer through silicon via (TSV) forming method and equipment therefor. The wafer TSV forming method includes the operations of arranging a wafer having a front surface having a circuit area patterned thereon; recognizing locations of bond pads in the circuit area of the front surface of the wafer by using an image recognition camera, and converting the recognition of the locations into bond pad location information with respect to a back surface of the wafer; flipping the wafer; forming etching holes with middle depth in the back surface of the wafer by using a laser in a manner to match the locations of the bond pads by using the bond pad location information from the image recognition camera; and performing a plasma isotropic etching on the back surface having formed therein the etching holes with middle depth, thereby forming TSVs penetrating the bond pads.
    Type: Application
    Filed: July 30, 2009
    Publication date: November 4, 2010
    Inventors: Jung Hwan CHUN, Gyu Han KIM
  • Patent number: 7811939
    Abstract: A plasma etching apparatus is arranged to perform main etching for etching a poly-crystalline silicon film by use of Cl2/SF6/N2 plasma obtained by exciting Cl2 gas, SF6 gas, and N2 gas, and over etching for etching the poly-crystalline silicon film by use of Cl2/HBr/CF4 plasma obtained by exciting Cl2 gas, HBr gas, and CF4 gas. In the main etching, N2 gas is added to suppress formation of roughness on a poly-crystalline silicon surface and attain a sufficient etching rate.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: October 12, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Masato Kushibiki, Chie Kato, Akitaka Shimizu
  • Patent number: 7811941
    Abstract: A method and a device suitable for implementing this method for etching a substrate (10), a silicon body in particular, using an inductively coupled plasma (14) are proposed. For this purpose, a radio-frequency electromagnetic alternating field is generated with an ICP source (13), the alternating field generating an inductively coupled plasma (14) of reactive particles in a reactor (15). The inductively coupled plasma (14) arises by the action of the radio-frequency electromagnetic alternating field on a reactive gas. Furthermore, a device is provided with which a plasma power injected into the inductively coupled plasma (14) via the radio-frequency electromagnetic alternating field with the ICP source (13) is capable of being pulsed so that at least from time to time a pulsed radio-frequency power can be injected into the inductively coupled plasma (14) as a pulsed radio-frequency power.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: October 12, 2010
    Assignee: Robert Bosch GmbH
    Inventors: Volker Becker, Franz Laermer, Andrea Schilp
  • Publication number: 20100255612
    Abstract: The invention provides a dry etching method capable of obtaining a good profile with little side etch without receiving the restriction of a micro loading effect. A dry etching method for etching a sample having formed on the surface thereof a pattern with an isolated portion and a dense portion using plasma comprises a first etching step using an etching gas containing a CF-based gas and a nitrogen gas in which an etching rate of a dense portion of the pattern is greater than the etching rate of the isolated portion of the mask pattern, and a second etching step in which the etching rate of the isolated portion of the pattern is greater than the etching rate of the dense portion of the pattern.
    Type: Application
    Filed: July 30, 2009
    Publication date: October 7, 2010
    Inventors: Yoshiharu INOUE, Hiroaki ISHIMURA, Hitoshi KOBAYASHI, Masunori ISHIHARA, Toru ITO, Toshiaki NISHIDA
  • Patent number: 7807583
    Abstract: A method for patterning high aspect ratio vias is provided. More specifically a dry etching method is provided for patterning deep vias or vias with high aspects ratios thereby eliminating the hard mask undercut. A method is provided to create (pattern) deep vias in a substrate for use in three dimensional stacked semiconductor devices and/or structures. More specifically, a method is provided for patterning deep vias with an aspect ratio up to 10 into a Si substrate with smooth via sidewalls and sufficient slope to enable metallization.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: October 5, 2010
    Assignee: IMEC
    Inventors: Joke Van Aelst, Herbert Struyf, Serge Vanhaelemeersch
  • Patent number: 7794610
    Abstract: The invention relates to a method for making an actuation system for an optical component comprising: etching of a first face of a component, to form pads on it, etching of a second face of the component, to expose a membrane made of the same material as the pads, production of the actuation means of the pads and the membrane.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: September 14, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Claire Divoux, Marie-Helene Vaudaine, Thierry Enot
  • Publication number: 20100221895
    Abstract: HF-originated radicals generated in a plasma-forming chamber are fed to a treatment chamber via feed holes, while HF gas molecules as the treatment gas are supplied to the treatment chamber from near the radical feed holes to suppress the excitation energy, thereby increasing the selectivity to Si to remove a native oxide film. Even with the dry-treatment, the surface treatment provides good surface flatness equivalent to that obtained by the wet-cleaning which requires high-temperature treatment, and further attains growth of Si single crystal film on the substrate after the surface treatment. The surface of formed Si single crystal film has small quantity of impurities of oxygen, carbon, and the like. After sputtering Hf and the like onto the surface of the grown Si single crystal film, oxidation and nitrification are applied thereto to form a dielectric insulation film such as HfO thereon, thus forming a metal electrode film.
    Type: Application
    Filed: April 21, 2010
    Publication date: September 2, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya Seino, Manabu Ikemoto, Kimiko Mashimo
  • Publication number: 20100213579
    Abstract: Methods for fabrication of high aspect ratio micropillars and nanopillars are described. Use of alumina as an etch mask for the fabrication methods is also described. The resulting micropillars and nanopillars are analyzed and a characterization of the etch mask is provided.
    Type: Application
    Filed: February 24, 2010
    Publication date: August 26, 2010
    Inventors: Michael D. Henry, Andrew P. Homyk, Axel Scherer, Sameer Walavalkar
  • Patent number: 7780862
    Abstract: In one implementation, a method is provided capable of etching a wafer to form devices including a high-k dielectric layer. The method includes etching an upper conductive material layer in a first plasma chamber with a low cathode temperature, transferring the wafer to a second chamber without breaking vacuum, etching a high-k dielectric layer in the second chamber, and transferring the wafer from the second chamber to the first plasma chamber without breaking vacuum. A lower conductive material layer is etched with a low cathode temperature in the first chamber. In one implementation, the high-k dielectric etch is a plasma etch using a high temperature cathode. In another implementation, the high-k dielectric etch is a reactive ion etch.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Meihua Shen, Xikun Wang, Wei Liu, Yan Du, Shashank Deshmukh
  • Publication number: 20100203737
    Abstract: An etching method and an etching system are adapted to produce a high etch selectivity for a mask, an excellent anisotropic profile and a large etching depth. An etching system according to the invention comprises a floating electrode arranged vis-à-vis a substrate electrode in a vacuum chamber and held in a floating state in terms of electric potential, a material arranged at the side of the floating electrode facing the substrate electrode to form an anti-etching film and a control unit for intermittently applying high frequency power to the floating electrode.
    Type: Application
    Filed: March 31, 2010
    Publication date: August 12, 2010
    Applicant: ULVAC, INC.
    Inventors: Yasuhiro MORIKAWA, Toshio HAYASHI, Koukou SUU
  • Publication number: 20100197138
    Abstract: Embodiments of the invention relate to a substrate etching method and apparatus. In one embodiment, a method for etching a substrate in a plasma etch reactor is provided that include flowing a backside process gas between a substrate and a substrate support assembly, and cyclically etching a layer on the substrate.
    Type: Application
    Filed: January 29, 2010
    Publication date: August 5, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Alan Cheshire, Stanley Detmar
  • Patent number: 7767584
    Abstract: A method for providing substantially similar chamber condition before each wafer process operation in a semiconductor process chamber is provided. The method allows for prevention of transport of particle and metal contamination from chamber surfaces to the processed wafer. The method initiates with depositing a silicon containing layer over an inner surface of an empty semiconductor process chamber. Then, a wafer is introduced into the semiconductor process chamber after depositing the silicon containing layer. Next, a process operation is performed on the wafer. The process operation deposits a residue on the silicon containing layer. Next, an in-situ cleaning process is initiated upon completion of the processing operation and removal of the wafer.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: August 3, 2010
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, Saurabh J. Ullal, Shibu Gangadharan
  • Patent number: 7763546
    Abstract: Provided herein are methods for preventing the formation and accumulation of surface-associated charges, and deleterious effects associated therewith, during the manufacture of a MEMS device. In some embodiments, methods provided herein comprise etching a sacrificial material in the presence of an ionized gas, wherein the ionized gas neutralizes charged species produced during the etching process and allows for their removal along with other etching byproducts. Also disclosed are microelectromechanical devices formed by methods of the invention, and visual display devices incorporating such devices.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: July 27, 2010
    Assignee: Qualcomm MEMS Technologies, Inc.
    Inventors: Manish Kothari, Jeffrey B. Sampsell
  • Patent number: 7759252
    Abstract: The present invention is related to a method of two-step backside-etching. First, a substrate with a plurality of hard masks is provided. Next, the back and the edge of the substrate are backside-etched to remove parts of the hard masks on the back and the edge of the substrate. Then, the hard masks and the substrate are patterned in sequence to form a plurality of trenches in the substrate. Finally, before performing a wet bath step, the edge of the substrate is backside-etched to remove needle structures on the edge of the substrate.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: July 20, 2010
    Assignee: Promos Technologies Inc.
    Inventor: Yeng-Peng Wang
  • Patent number: 7758760
    Abstract: A thin film transistor (TFT) array panel and method of manufacturing the same are provided. The method includes forming a semiconductor layer and an ohmic contact layer over a gate line, forming a conductive layer on the ohmic contact layer, forming a first photosensitive layer pattern on the conductive layer, etching the conductive layer using the first photosensitive layer pattern as an etching mask, etching the ohmic contact layer and the semiconductor layer by a fluorine-containing gas, a chloride-containing gas, and an oxygen (O2) gas using the first photosensitive layer pattern as an etching mask, removing the first photosensitive layer pattern to a predetermined thickness to form a second photosensitive layer pattern, and etching the conductive layer using the second photosensitive layer pattern as an etching mask to expose a part of the ohmic contact layer.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Ha Choi, Min-Seok Oh, Hong-Kee Chin, Sang-Gab Kim, Yu-Gwang Jeong
  • Publication number: 20100178748
    Abstract: A method of etching trenches into silicon of a semiconductor substrate includes forming a mask over silicon of a semiconductor substrate, with the mask comprising trenches formed there-through. Plasma etching is conducted to form trenches into the silicon of the semiconductor substrate using the mask. In one embodiment, the plasma etching includes forming an etching plasma using precursor gases which include SF6, an oxygen-containing compound, and a nitrogen-containing compound. In one embodiment, the plasma etching includes an etching plasma which includes a sulfur-containing component, an oxygen-containing component, and NFx.
    Type: Application
    Filed: March 17, 2010
    Publication date: July 15, 2010
    Applicant: Micron Technology, Inc.
    Inventor: Krupakar M. Subramanian
  • Patent number: 7754613
    Abstract: Etching and protective-film deposition operations E and D are in alternation repeatedly executed on a silicon substrate carried on a platform within a processing chamber. With gas inside the processing chamber having been exhausted to pump down the chamber interior, in the etching operation E, the substrate is etched by supplying etching gas into the chamber and converting it into plasma and applying a bias potential to the platform, and in the protective-film deposition operation D, a protective film is formed on the silicon substrate by supplying protective-film deposition gas into the processing chamber and converting it into plasma. When a predetermined time prior to the close of operations E and D (time intervals indicated by reference marks Ee and De) is reached, the supply of etching or protective-film deposition gas is halted, and the exhaust flow rate of gas exhausted from the chamber is made greater than that previously.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: July 13, 2010
    Assignee: Sumitomo Precision Products Co., Ltd.
    Inventors: Shoichi Murakami, Takashi Yamamoto, Tatsuo Hiramura
  • Patent number: RE41632
    Abstract: The present invention discloses a method of manufacturing a liquid crystal display device including a first photolithography process forming a gate electrode on a substrate; a second photolithography process including: a) depositing sequentially a gate insulating layer, first and second semiconductor layers, and a metal layer; b) applying a first photoresist on the metal layer; c) aligning a first photo mask with the substrate; d) light exposing and developing the first photoresist to produce a first photoresist pattern; e) etching the metal layer using a first etchant, the first etchant ashing the first photoresist pattern on a predetermined portion of the metal layer to produce a second photoresist pattern, thereby exposing the predetermined portion of the metal layer; and f) etching the gate insulating layer, the first and second semiconductor layer, and the predetermined portion of the metal layer using a second etchant according to the second photoresist pattern to form source and drain electrodes, an oh
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: September 7, 2010
    Assignee: LG Display Co., Ltd.
    Inventors: Kwangjo Hwang, Changwook Han