Layers Formed Of Diverse Composition Or By Diverse Coating Processes Patents (Class 438/763)
  • Patent number: 8268711
    Abstract: Provided is a floating gate having multiple charge storage layers, a non-volatile memory device using the same, and a method of fabricating the floating gate and the non-volatile memory device, in which the multiple charge storage layers using metallic/semiconducting nano-particles is formed to thereby enhance a charge storage capacity of the memory device. The floating gate includes a polymer electrolytic film which is deposited on a tunneling oxide film, and is formed of at least one stage in which at least one thin film is deposited on each stage, and at least one metal nano-particle layer which is self-assembled on the upper surface of each stage of the polymer electrolytic film and on which a number of nano-particles for trapping charges are formed. The floating gate is made by self-assembling the nano-particles on the polymer electrolytic film, and thus can be fabricated without undergoing a heat treatment process at high temperature.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: September 18, 2012
    Assignee: Kookmin University Industry Academy Cooperation Foundation
    Inventors: Jang-Sik Lee, Jinhan Cho, Jaegab Lee
  • Patent number: 8269289
    Abstract: Methods of forming transistor devices and structures thereof are disclosed. A first dielectric material is formed over a workpiece, and a second dielectric material is formed over the first dielectric material. The workpiece is annealed, causing a portion of the second dielectric material to combine with the first dielectric material and form a third dielectric material. The second dielectric material is removed, and a gate material is formed over the third dielectric material. The gate material and the third dielectric material are patterned to form at least one transistor.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: September 18, 2012
    Assignee: Infineon Technologies AG
    Inventor: Hongfa Luan
  • Patent number: 8268730
    Abstract: A method for fabricating semiconductor device structures includes forming a non-conformal mask over a surface of a substrate. Non-conformal mask material with a planar or substantially planar upper surface is formed on the surface of the substrate. The planarity or substantial planarity of the non-conformal material eliminates or substantially eliminates distortion in a “mask” formed thereover and, thus, eliminates or substantially eliminates distortion in any mask that is subsequently formed using the pattern of the mask. In some embodiments, mask material of the non-conformal mask does not extend into recesses in the upper surface of the substrate; instead it “bridges” the recesses. Semiconductor device structures that include non-conformal masks and semiconductor device structures that have been fabricated with non-conformal masks are also disclosed.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: September 18, 2012
    Assignee: Micron Technology, Inc.
    Inventor: David H. Wells
  • Publication number: 20120231633
    Abstract: Disclosed are method and apparatus for treating a substrate. The apparatus is a dual-function process chamber that may perform both a material process and a thermal process on a substrate. The chamber has an annular radiant source disposed between a processing location and a transportation location of the chamber. Lift pins have length sufficient to maintain the substrate at the processing location while the substrate support is lowered below the radiant source plane to afford radiant heating of the substrate. A method of processing a substrate having apertures formed in a first surface thereof includes depositing material on the first surface in the apertures and reflowing the material by heating a second surface of the substrate opposite the first surface. A second material can then be deposited, filling the apertures partly or completely. Alternately, a cyclical deposition/reflow process may be performed.
    Type: Application
    Filed: March 11, 2011
    Publication date: September 13, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Maurice E. Ewert, Anantha K. Subramani, Umesh M. Kelkar, Chandrasekhar Balasubramanyam, Joseph M. Ranish
  • Publication number: 20120225565
    Abstract: Aspects of the disclosure pertain to methods of depositing conformal silicon oxide multi-layers on patterned substrates. The conformal silicon oxide multi-layers are each formed by depositing multiple sub-layers. Sub-layers are deposited by flowing BIS(DIETHYLAMINO)SILANE (BDEAS) and an oxygen-containing precursor into a processing chamber such that a relatively uniform dielectric growth rate is achieved across the patterned substrate surface. A plasma treatment may follow formation of sub-layers to further improve conformality and to decrease the wet etch rate of the conformal silicon oxide multi-layer film. The deposition of conformal silicon oxide multi-layers grown according to embodiments have a reduced dependence on pattern density while still being suitable for non-sacrificial applications.
    Type: Application
    Filed: October 3, 2011
    Publication date: September 6, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Sidharth Bhatia, Paul Edward Gee, Shankar Venkataraman
  • Publication number: 20120220098
    Abstract: Some embodiments include dielectric structures. The structures include first and second portions that are directly against one another. The first portion may contain a homogeneous mixture of a first phase and a second phase. The first phase may have a dielectric constant of greater than or equal to 25, and the second phase may have a dielectric constant of less than or equal to 20. The second portion may be entirely a single composition having a dielectric constant of greater than or equal to 25. Some embodiments include electrical components, such as capacitors and transistors, containing dielectric structures of the type described above. Some embodiments include methods of forming dielectric structures, and some embodiments include methods of forming electrical components.
    Type: Application
    Filed: May 1, 2012
    Publication date: August 30, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Noel Rocklein, Chris M. Carlson, Dave Peterson, Cunyu Yang, Praveen Vaidyanathan, Vishwanath Bhat
  • Patent number: 8252699
    Abstract: A method and apparatus for forming an amorphous carbon layer on a substrate is provided. A first portion of the amorphous carbon layer having a high stress level is formed from a hydrocarbon precursor having high dilution ratio, with optional amine precursor included to add stress-elevating nitrogen. A second portion of the amorphous carbon layer having a low stress level is formed on the first portion by reducing the dilution ratio of the hydrocarbon precursor and lowering or eliminating the amine gas. Pressure, temperature, and RF power input may be adjusted instead of, or in addition to, precursor flow rates, and different precursors may be used for different stress levels.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: August 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Anthony Konecni, Josephine Juhwei Liu, Deenesh Padhi, Bok Hoen Kim, William H. McClintock
  • Patent number: 8252697
    Abstract: Methods of forming transparent zinc-tin oxide structures are described. Devices that include transparent zinc-tin oxide structures as at least one of a channel layer in a transistor or a transparent film disposed over an electrical device that is at a substrate.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: August 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8252694
    Abstract: A plasma etching method that can increase the selection ratio of a stop layer to an interlayer insulation film. The plasma etching method is carried out on a substrate that has the interlayer insulation film formed of CwFx (x and w are predetermined natural numbers) and a stop layer that stops etching and is exposed at the bottom of a hole or a trench formed in the interlayer insulation film. The interlayer insulation film and the stop layer are exposed at the same time to plasma generated from CyFz (y and z are predetermined natural numbers) gas and hydrogen-containing gas.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: August 28, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Naotsugu Hoshi, Noriyuki Kobayashi
  • Publication number: 20120214316
    Abstract: A semiconductor device and a method of fabricating a semiconductor device including a step of providing a substrate having a first region and a second region adjacent to each other, a step of forming a structure on the substrate in the first region, the structure including a top surface and a sidewall, a step of forming a first insulation layer on the substrate including the structure, the first insulation layer including a first top surface in the first region, an inclined sidewall on the sidewall of structure, and a second top surface in the second region, a step of forming a second insulation layer on the first insulation layer, and a step of planarizing the second and first insulation layers to form a common planarized surface.
    Type: Application
    Filed: February 17, 2012
    Publication date: August 23, 2012
    Inventors: Jin-Woo Bae, Inseak Hwang, Myangsik Han, Se Jung Park, Sung-Min Cho, YoungHo Koh, Yi Koan Hong
  • Patent number: 8247883
    Abstract: An embodiment is a method and apparatus to construct a shielded cable, wire, or circuit. A first insulator layer is deposited on a first conductor or semiconductor layer. A second conductor or semiconductor layer is deposited on the first insulator layer. A second insulator layer is deposited on the first insulator layer. The second insulator layer covers the second conductor or semiconductor layer and defines a shielded region. A third conductor or semiconductor layer is deposited on the first conductor or semiconductor layer. The third conductor or semiconductor layer covers the first and second insulator layers. At least one of the first, second, and third conductor or semiconductor layers, and the first and second insulator layers is deposited by printing.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: August 21, 2012
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Jurgen H. Daniel, Tse Nga Ng
  • Publication number: 20120205787
    Abstract: An antireflective coating that contains at least two polymer components and comprises chromophore moieties and transparent moieties is provided. The antireflective coating is useful for providing a single-layer composite graded antireflective coating formed beneath a photoresist layer.
    Type: Application
    Filed: March 19, 2012
    Publication date: August 16, 2012
    Applicant: International Business Machines Corporation
    Inventors: Dario L. Goldfarb, Libor Vyklick, Sean D. Burns, David R. Medeiros, Daniel P. Sanders, Robert D. Allen
  • Patent number: 8242029
    Abstract: An atomic layer deposition-deposited silicon dioxide/metal oxide-nanolaminate, comprising at least one layer of silicon dioxide and at least one layer of a metal oxide, and having a wet etch rate in an etchant, said wet etch rate being either greater or smaller than both a wet etch rate of a film of silicon dioxide and a wet etch rate of a film of said metal oxide in said etchant. Also provided is a method for manufacturing the same.
    Type: Grant
    Filed: November 23, 2009
    Date of Patent: August 14, 2012
    Assignee: ASM International N.V.
    Inventors: Peter Zagwijn, Hyung-Sang Park, Stijn De Vusser
  • Patent number: 8242028
    Abstract: A method for the ultraviolet (UV) treatment of etch stop and hard mask film increases etch selectivity and hermeticity by removing hydrogen, cross-linking, and increasing density. The method is particularly applicable in the context of damascene processing. A method provides for forming a semiconductor device by depositing an etch stop film or a hard mask film on a substrate and exposing the film to UV radiation and optionally thermal energy. The UV exposure may be direct or through another dielectric layer.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: August 14, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Bart van Schravendijk, Christian Denisse
  • Publication number: 20120202354
    Abstract: A method of forming a carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. The dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen.
    Type: Application
    Filed: April 11, 2012
    Publication date: August 9, 2012
    Applicants: Globalfoundries Inc., International Business Machines Corporation
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Patent number: 8236705
    Abstract: Embodiments of the invention provide methods and systems for depositing a viscous material on a substrate surface. In one embodiment, the invention provides a method of depositing a viscous material on a substrate surface, the method comprising: applying a pre-wet material to a surface of a substrate; depositing a viscous material atop the pre-wet material; rotating the substrate about an axis to spread the viscous material along the surface of the substrate toward a substrate edge; and depositing additional pre-wet material in a path along the surface and adjacent the spreading viscous material.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: August 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Nitin H. Parbhoo, Spyridon Skordas
  • Publication number: 20120196448
    Abstract: A dielectric containing an insulating metal oxide film having multiple metal components and a method of fabricating such a dielectric produce a reliable dielectric for use in a variety of electronic devices. Embodiments include a titanium aluminum oxide film structured as one or more monolayers. Embodiments also include structures for capacitors, transistors, memory devices, and electronic systems with dielectrics containing a titanium aluminum oxide film.
    Type: Application
    Filed: April 9, 2012
    Publication date: August 2, 2012
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20120190186
    Abstract: A semiconductor device manufacturing method includes: forming a first insulating film over the surface of a semiconductor substrate having at least two adjacent protrusions in such a manner that the film thickness between the two protrusions is not less than 1.2 times the height of at least one of the two protrusions; and forming a second insulating film over the first insulating film, the second insulating film being harder than the first insulating film.
    Type: Application
    Filed: January 13, 2012
    Publication date: July 26, 2012
    Inventor: Fuminobu NAKASHIMA
  • Publication number: 20120187548
    Abstract: A method of modifying a fluorinated polymer surface comprising the steps of depositing a first layer on at least a portion of the fluorinated polymer surface, the first layer comprising a first polymer, the first polymer being a substantially perfluorinated aromatic polymer; and depositing a second layer on at least a portion of the first layer, the second layer comprising a second polymer, the second polymer being an aromatic polymer having a lower degree of fluorination than said first polymer, whereby the second layer provides a surface on to which a substance having a lower degree of fluorination than the first polymer, e.g. a non-fluorinated substance is depositable.
    Type: Application
    Filed: July 29, 2010
    Publication date: July 26, 2012
    Applicant: Cambridge Display Technology Limited
    Inventor: Thomas Kugler
  • Patent number: 8227355
    Abstract: An underlying film forming section forming an underlying film on a semiconductor substrate is provided to an apparatus of fabricating a semiconductor device. The apparatus is further provided with a cooling section cooling the semiconductor substrate and a plasma nitriding section introducing active nitrogen into the underlying film while keeping the temperature of the semiconductor substrate cooled by the cooling section at 100° C. or below. The semiconductor substrate is cooled by using liquid nitrogen or liquid helium, and by cooling a stage on which the semiconductor substrate is placed.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: July 24, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Mitsuaki Hori
  • Publication number: 20120184110
    Abstract: An insulating film including characteristics such as low permittivity, a low etching rate and a high insulation property is formed. Supplying a gas containing an element, a carbon-containing gas and a nitrogen-containing gas to a heated substrate in a processing vessel to form a carbonitride layer including the element, and supplying the gas containing the element and an oxygen-containing gas to the heated substrate in the processing vessel to form an oxide layer including the element are alternately repeated to form on the substrate an oxycarbonitride film having the carbonitride layer and the oxide layer alternately stacked therein.
    Type: Application
    Filed: January 9, 2012
    Publication date: July 19, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro Hirose, Yushin Takasawa, Tsukasa Kamakura, Yoshinobu Nakamura, Ryota Sasajima
  • Patent number: 8222159
    Abstract: A manufacturing method of semiconductor device comprises: sequentially laminating a third mask layer, a second mask layer, and a first mask layer on a processed layer; forming a fourth mask layer on the first mask layer; processing the first mask layer so as to have a line pattern form using the fourth mask layer as a mask; removing the first mask layer; processing the second mask layer so as to have a pair of line pattern forms using the pair of sidewall layers as a mask; forming a fifth mask layer on the third mask layer; forming a pair of opening portions in the third mask layer using the fifth mask layer as a mask; and forming a pair of groove portions on the processed layer using the third mask layer as a mask.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: July 17, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Takashi Sugimura
  • Patent number: 8221827
    Abstract: A patterning method according to an embodiment of the present invention comprises: acquiring information about a surface state of an underlying film formed on a substrate; determining, based on the surface state, whether irregularity/foreign matter is present in each shot region in which a pattern is to be formed; and solidifying a resist agent while a first template, when it is determined that no irregularity/foreign matter is present in the shot region, or a second template that is different from the first template, when it is determined that irregularity/foreign matter is present in the shot region, is brought close to the underlying film on the shot region at a certain distance with the resist agent therebetween.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: July 17, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Tokue, Ikuo Yoneda, Shinji Mikami, Takumi Ota
  • Patent number: 8222688
    Abstract: A semiconductor device includes a substrate, a first oxide layer formed on the substrate, an oxygen-rich nitride layer formed on the first oxide layer, a second oxide layer formed on the oxygen-rich nitride layer, and an oxygen-poor nitride layer formed on the second oxide layer.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: July 17, 2012
    Assignee: Cypress Semiconductor Corporation
    Inventors: Fredrick Jenne, Krishnaswamy Ramkumar
  • Patent number: 8222648
    Abstract: A silicon carbide semiconductor device (90), includes: 1) a silicon carbide substrate (1); 2) a gate electrode (7) made of polycrystalline silicon; and 3) an ONO insulating film (9) sandwiched between the silicon carbide substrate (1) and the gate electrode (7) to thereby form a gate structure, the ONO insulating film (9) including the followings formed sequentially from the silicon carbide substrate (1): a) a first oxide silicon film (O) (10), b) an SiN film (N) (11), and c) an SiN thermally-oxidized film (O) (12, 12a, 12b). Nitrogen is included in at least one of the following places: i) in the first oxide silicon film (O) (10) and in a vicinity of the silicon carbide substrate (1), and ii) in an interface between the silicon carbide substrate (1) and the first oxide silicon film (O) (10).
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: July 17, 2012
    Assignees: Nissan Motor Co., Ltd., Rohm Co., Ltd.
    Inventors: Satoshi Tanimoto, Noriaki Kawamoto, Takayuki Kitou, Mineo Miura
  • Publication number: 20120171867
    Abstract: A method for fabricating a fine pattern includes forming a line-shaped partition pattern on an underlayer, adhering a first spacer to the sides of the partition pattern, dividing the first spacer into two line patterns where one line pattern has one end bent by selectively etching the first spacer portion with a division region, adhering a second spacer, which has a connection protrusion filling the division region and connecting to the partition pattern, to the outer side of the two line patterns, and selectively removing the two line patterns.
    Type: Application
    Filed: July 21, 2011
    Publication date: July 5, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jin Soo KIM
  • Patent number: 8211775
    Abstract: A method for forming a transistor having a metal gate is provided. A substrate is provided first. A transistor is formed on the substrate. The transistor includes a high-k gate dielectric layer, an oxygen containing dielectric layer disposed on the high-k gate dielectric layer, and a dummy gate disposed on the oxygen containing dielectric layer. Then, the dummy gate and the patterned gate dielectric layer are removed. Lastly, a metal gate is formed and the metal gate directly contacts the high-k gate oxide.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: July 3, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Yu Ma, Wen-Han Hung, Ta-Kang Lo, Tsai-Fu Chen, Tzyy-Ming Cheng
  • Patent number: 8211811
    Abstract: A semiconductor device of an embodiment can prevent nitriding of the lower-layer insulating film and oxygen diffusion from the upper-layer insulating film, so as to minimize the decrease in charge capture density. This semiconductor device includes a semiconductor layer, a first insulating film provided on the semiconductor layer, a nitrogen-added amorphous silicon layer formed on the first insulating film, a first silicon nitride layer formed on the amorphous silicon layer, and a second insulating film formed above the first silicon nitride layer.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: July 3, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Daisuke Matsushita, Yuichiro Mitani
  • Publication number: 20120164842
    Abstract: A trench embedding method includes forming an oxidization barrier film on a trench; forming an expandable film on the oxidization barrier film; embedding an embedding material that contracts by being fired on the trench; and firing the embedding material, wherein the forming of the oxidization barrier film includes: forming a first seed layer on the trench by supplying an aminosilane-based gas; and forming a silicon nitride film on the first seed layer, wherein the forming of the expandable film includes: forming a second seed layer on the silicon nitride film by supplying an aminosilane-based gas; and forming a silicon film on the second seed layer.
    Type: Application
    Filed: December 22, 2011
    Publication date: June 28, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahisa WATANABE, Mitsuhiro OKADA
  • Patent number: 8206788
    Abstract: In the manufacture of electronic devices that use porous dielectric materials, the properties of the dielectric in a pristine state can be altered by various processing steps. In a method for restoring and preserving the pristine properties of a porous dielectric layer, a substrate is provided with a layer of processed porous dielectric on top, whereby the processed porous dielectric is at least partially exposed. A thin aqueous film is formed at least on the exposed parts of the processed porous dielectric. The exposed porous dielectric with the aqueous film is exposed to an ambient containing a mixture comprising at least one silylation agent and dense CO2, resulting in the restoration and preservation of the pristine properties of the porous dielectric.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: June 26, 2012
    Assignee: IMEC
    Inventors: Fabrice Sinapi, Jan Alfons B. Van Hoeymissen
  • Publication number: 20120156890
    Abstract: A method and apparatus for forming low-k dielectric layers that include air gaps is provided. In one embodiment, a method of processing a substrate is provided. The method comprises disposing a substrate within a processing region, reacting an organosilicon compound, with an oxidizing gas, and a porogen providing precursor in the presence of a plasma to deposit a porogen containing low-k dielectric layer comprising silicon, oxygen, and carbon on the substrate, depositing a porous dielectric capping layer comprising silicon, oxygen and carbon on the porogen containing low-k dielectric layer, and ultraviolet (UV) curing the porogen containing low-k dielectric layer and the porous dielectric capping layer to remove at least a portion of the porogen from the porogen containing low-k dielectric layer through the porous dielectric capping layer to convert the porogen containing low-k dielectric layer to a porous low-k dielectric layer having air gaps.
    Type: Application
    Filed: November 28, 2011
    Publication date: June 21, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: KANG SUB YIM, Jin XU, Sure NGO, Alexandros T. DEMOS
  • Patent number: 8202806
    Abstract: A method of fabricating an integrated circuit having reduced threshold voltage shift is provided. A nonconducting region is formed on the semiconductor substrate and active regions are formed on the semiconductor substrate. The active regions are separated by the nonconducting region. A barrier layer and a dielectric layer are deposited over the nonconducting region and over the active regions. Heat is applied to the integrated circuit causing the barrier layer to anneal.
    Type: Grant
    Filed: October 3, 2005
    Date of Patent: June 19, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P.S. Thakur, Ravi Iyer, Howard Rhodes
  • Publication number: 20120146195
    Abstract: An overlay vernier mask pattern of a semiconductor device includes a first overlay vernier mask pattern having a first opening for exposing a first area of a layer to be etched on a substrate and a second opening for exposing a second area spaced apart from the first area, and a second overlay vernier mask pattern aligned on the first overlay vernier mask pattern and the layer to be etched, and having an opening for exposing the second opening while exposing a portion of the layer to be etched in the first area.
    Type: Application
    Filed: December 9, 2011
    Publication date: June 14, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Joon Seuk LEE
  • Publication number: 20120149212
    Abstract: The invention relates to a device and a method for depositing semiconductor layers, in particular made of a plurality of components on one or more substrates (21) contacting a susceptor (2), wherein process gases can be introduced into the process chamber (1) through flow channels (15, 16; 18) of a gas inlet organ (8), together with a carrier gas, said carrier gas permeating the process chamber (1) substantially parallel to the susceptor and exits through a gas outlet organ (7), wherein the products of decomposition build up the process gases as a coating at least in regions on the substrate surface and on the surface of the gas outlet organ (7) disposed downstream of the susceptor (2) at a distance (D) from the downstream edge (21) thereof.
    Type: Application
    Filed: August 4, 2010
    Publication date: June 14, 2012
    Inventor: Gerhard Karl Strauch
  • Publication number: 20120149193
    Abstract: A method for forming a semiconductor device includes the following processes. An insulating film is formed over a semiconductor substrate. A hole is formed in the insulating film. A film including ZrAlO is formed over the insulating film and in the hole. Forming the film including ZrAlO may include, but is not limited to, the following processes. A first precursor including zirconium and a second precursor including aluminum are supplied into a reaction chamber at a supply amount ratio of the first precursor to the second precursor in the range from 2.5 to 3.5. The first precursor and the second precursor are exhausted from the reaction chamber. An oxidant is supplied into the reaction chamber to oxidize zirconium and aluminum. The oxidant is exhausted from the reaction chamber.
    Type: Application
    Filed: December 6, 2011
    Publication date: June 14, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Naonori FUJIWARA
  • Patent number: 8187933
    Abstract: Some embodiments include dielectric structures. The structures include first and second portions that are directly against one another. The first portion may contain a homogeneous mixture of a first phase and a second phase. The first phase may have a dielectric constant of greater than or equal to 25, and the second phase may have a dielectric constant of less than or equal to 20. The second portion may be entirely a single composition having a dielectric constant of greater than or equal to 25. Some embodiments include electrical components, such as capacitors and transistors, containing dielectric structures of the type described above. Some embodiments include methods of forming dielectric structures, and some embodiments include methods of forming electrical components.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 29, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Noel Rocklein, Chris Carlson, Dave Peterson, Cunyu Yang, Praveen Vaidyanathan, Vishwanath Bhat
  • Publication number: 20120129351
    Abstract: A method and apparatus for forming an amorphous carbon layer on a substrate is provided. A first portion of the amorphous carbon layer having a high stress level is formed from a hydrocarbon precursor having high dilution ratio, with optional amine precursor included to add stress-elevating nitrogen. A second portion of the amorphous carbon layer having a low stress level is formed on the first portion by reducing the dilution ratio of the hydrocarbon precursor and lowering or eliminating the amine gas. Pressure, temperature, and RF power input may be adjusted instead of, or in addition to, precursor flow rates, and different precursors may be used for different stress levels.
    Type: Application
    Filed: November 22, 2010
    Publication date: May 24, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Anthony Konecni, Josephine Juhwei Liu, Deenesh Padhi, Bok Hoen Kim, William H. Mc Clintock
  • Publication number: 20120129353
    Abstract: Provided by the present invention is a method including: (1) forming a resist underlayer film on the upper face side of a substrate to be processed using a composition for forming a resist underlayer film, the composition containing (A) a compound having a group represented by the following formula (1); (2) forming a resist coating film by applying a resist composition on the resist underlayer film; (3) exposing the resist coating film by selectively irradiating the resist coating film with a radiation; (4) forming a resist pattern by developing the exposed resist coating film; and (5) forming a predetermined pattern on the substrate to be processed by sequentially dry etching the resist underlayer film and the substrate using the resist pattern as a mask.
    Type: Application
    Filed: September 28, 2011
    Publication date: May 24, 2012
    Applicant: JSR Corporation
    Inventors: Shin-ya MINEGISHI, Shin-ya Nakafuji, Satoru Murakami, Toru Kimura
  • Publication number: 20120122312
    Abstract: Methods and associated structures of forming a microelectronic structure are described. Those methods may comprise forming a conductive material in an interconnect opening within an interlayer dielectric material that is disposed on a substrate, forming a low density dielectric material on a surface of the dielectric layer and on a surface of the conductive material, and forming a high density dielectric barrier layer on the low density dielectric layer.
    Type: Application
    Filed: October 18, 2011
    Publication date: May 17, 2012
    Inventors: Sean W. King, Hui Jae Yoo
  • Patent number: 8179225
    Abstract: A ceramic electronic component has a chip element body having a conductor arranged inside, external electrodes, and a discrimination layer. The chip element body has first and second end faces facing each other, first and second side faces being perpendicular to the first and second end faces and facing each other, and third and fourth side faces being perpendicular to the first and second end faces and to the first and second side faces and facing each other. The external electrodes are formed on the first and second end faces, respectively, of the chip element body. The discrimination layer is provided on at least one side face out of the first side face and the second side face in the chip element body. The chip element body is comprised of a first ceramic. The discrimination layer is comprised of a second ceramic different from the first ceramic and has a color different from that of the third and fourth side faces.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: May 15, 2012
    Assignee: TDK Corporation
    Inventors: Toshihiro Iguchi, Akitoshi Yoshii, Akira Goshima, Kazuyuki Hasebe
  • Publication number: 20120104567
    Abstract: An insulative layer on a semiconductor substrate and a method of fabricating the structure includes the steps of depositing a single crystal layer of rare earth oxide on a semiconductor substrate to provide electrical insulation and thermal management. The rare earth oxide is crystal lattice matched to the substrate. A layer of single crystal IIIOxNy is formed in overlying relationship on the rare earth oxide by transitioning from the layer of rare earth oxide to a single crystal layer of IIIOxNy within a one wafer single epitaxial process. In the preferred embodiment the substrate is silicon, the rare earth oxide is Gd2O3, and the IIIOxNy includes AlOxNy.
    Type: Application
    Filed: August 12, 2011
    Publication date: May 3, 2012
    Inventors: Andrew Clark, Erdem Arkun, Michael Lebby
  • Publication number: 20120108052
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a lanthanide yttrium aluminum oxide dielectric film on a substrate for use in a variety of electronic systems. The lanthanide yttrium aluminum oxide film may be structured as one or more monolayers. The lanthanide yttrium aluminum oxide film may be formed by a monolayer or partial monolayer sequencing process such as using atomic layer deposition.
    Type: Application
    Filed: January 9, 2012
    Publication date: May 3, 2012
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8168546
    Abstract: A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic polymer that is has in its backbone, side chains, or both backbone and side chains, multiple secondary or tertiary amide groups that are represented by the following acetamide structure: >N—C(?O)—. The deposition inhibitor material is patterned simultaneously or subsequently to its application to the substrate, to provide selected areas of the substrate effectively not having the deposition inhibitor material. A thin film is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: May 1, 2012
    Assignee: Eastman Kodak Company
    Inventor: David H. Levy
  • Publication number: 20120098133
    Abstract: The problem of poor adherence of a dielectric coating on a patterned metal structure can be solved by forming an adhesion layer on exposed surfaces of such metal structure prior to deposition of such dielectric. According to an embodiment, the invention provides a method to form a self-aligned adhesion layer on the surface of metal interconnect structure within an integrated circuit by exposing the metal structure to a controlled atmosphere and a flow of nitrogen-containing gas.
    Type: Application
    Filed: October 22, 2010
    Publication date: April 26, 2012
    Applicant: International Business Machines Corporation
    Inventors: CHIH-CHAO YANG, Hsueh-Chung Chen
  • Publication number: 20120100726
    Abstract: A method of forming silicon oxide includes depositing a silicon nitride-comprising material over a substrate. The silicon nitride-comprising material has an elevationally outermost silicon nitride-comprising surface. Such surface is treated with a fluid that is at least 99.5% H2O by volume. A polysilazane-comprising spin-on dielectric material is formed onto the H2O-treated silicon nitride-comprising surface. The polysilazane-comprising spin-on dielectric material is oxidized to form silicon oxide. Other implementations are contemplated.
    Type: Application
    Filed: December 28, 2011
    Publication date: April 26, 2012
    Inventors: Yunjun Ho, Brent Gilgen
  • Patent number: 8163633
    Abstract: A method for the production of a robust, chemically stable, crystalline, passivated nanoparticle and composition containing the same, that emit light with high efficiencies and size-tunable and excitation energy tunable color. The methods include the thermal degradation of a precursor molecule in the presence of a capping agent at high temperature and elevated pressure. A particular composition prepared by the methods is a passivated silicon nanoparticle composition displaying discrete optical transitions.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: April 24, 2012
    Assignee: Merck Patent GmbH
    Inventors: Brian A. Korgel, Keith P. Johnston
  • Patent number: 8163341
    Abstract: Some embodiments include methods of forming metal-containing structures. A first metal-containing material may be formed over a substrate. After the first metal-containing material is formed, and while the substrate is within a reaction chamber, hydrogen-containing reactant may be used to form a hydrogen-containing layer over the first metal-containing material. The hydrogen-containing reactant may be, for example, formic acid and/or formaldehyde. Any unreacted hydrogen-containing reactant may be purged from within the reaction chamber, and then metal-containing precursor may be flowed into the reaction chamber. The hydrogen-containing layer may be used during conversion of the metal-containing precursor into a second metal-containing material that forms directly against the first metal-containing material. Some embodiments include methods of forming germanium-containing structures, such as, for example, methods of forming phase change materials containing germanium, antimony and tellurium.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: April 24, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Timothy A. Quick, Eugene P. Marsh
  • Publication number: 20120094504
    Abstract: A method of forming gate dielectric material includes forming a silicon oxide gate layer over a substrate. The silicon oxide gate layer is treated with a first ozone-containing gas. After treating the silicon oxide gate layer, a high dielectric constant (high-k) gate dielectric layer is formed over the treated silicon oxide gate layer.
    Type: Application
    Filed: January 11, 2011
    Publication date: April 19, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Liang-Gi YAO, Chia-Cheng CHEN, Clement Hsingjen WANN
  • Publication number: 20120088373
    Abstract: A dielectric containing a titanium silicon oxide film and a method of fabricating such a dielectric provide a dielectric for use in a variety of electronic devices. Embodiments may include a dielectric containing a titanium silicon oxide film arranged as one or more monolayers. Embodiments may include structures for capacitors, transistors, memory devices, and electronic systems with dielectrics containing a titanium silicon oxide film, and methods for forming such structures.
    Type: Application
    Filed: December 12, 2011
    Publication date: April 12, 2012
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8153353
    Abstract: A method and a material for creating an antireflective coating on an integrated circuit. A preferred embodiment comprises applying a dark polymer material on a reflective surface, curing the dark polymer material, and roughening a top surface of the dark polymer material. The roughening can be achieved by ashing the dark polymer material in an ash chamber. The dark polymer material, preferably a black matrix resin or a polyimide black matrix resin, when ashed in an oxygen rich atmosphere for a short period of time, forms a surface that is capable of absorbing light as well as randomly refracting light it does not absorb. A protective cap layer may be formed on top of the ashed dark polymer material to provide protection for the dark polymer material.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: April 10, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Jason Michael Neidrich