Silicon Nitride Formation Patents (Class 438/791)
  • Patent number: 8154059
    Abstract: An object of the present invention is to prevent the deterioration of a TFT (thin film transistor). The deterioration of the TFT by a BT test is prevented by forming a silicon oxide nitride film between the semiconductor layer of the TFT and a substrate, wherein the silicon oxide nitride film ranges from 0.3 to 1.6 in a ratio of the concentration of N to the concentration of Si.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: April 10, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masahiko Hayakawa, Mitsunori Sakama, Satoshi Toriumi
  • Patent number: 8153537
    Abstract: There is provided a method for fabricating a semiconductor device comprising the formation of a first device in the first device region, the first device comprising first diffusion regions. A stressor layer covering the substrate in the first device region and the first device is subsequently formed, the stressor layer having a first stress value. A laser anneal to memorize at least a portion of the first stress value in the first device is carried out followed by an activation anneal after the laser anneal to activate dopants in the first diffusion regions.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: April 10, 2012
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Sai Hooi Yeong, Tao Wang, Shesh Mani Pandey, Chia Ching Yeo, Ying Keung Leung, Elgin Kiok Boone Quek
  • Patent number: 8138103
    Abstract: A plasma processing apparatus generates plasma by introducing microwaves into a processing chamber by using a planar antenna having a plurality of slots. By using the plasma processing apparatus, a nitrogen containing gas and a silicon containing gas introduced into the processing chamber are brought into the plasma state, and at the time of depositing by using the plasma a silicon nitride film on the surface of the a substrate to be processed, stress to the silicon nitride film to be formed is controlled by the combination of the type and the processing pressure of the nitrogen containing gas.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Kohno, Tatsuo Nishita, Toshio Nakanishi
  • Patent number: 8138104
    Abstract: Stress of a silicon nitride layer may be enhanced by deposition at higher temperatures. Employing an apparatus that allows heating of a substrate to substantially greater than 400° C. (for example a heater made from ceramic rather than aluminum), the silicon nitride film as-deposited may exhibit enhanced stress allowing for improved performance of the underlying MOS transistor device. In accordance with alternative embodiments, a deposited silicon nitride film is exposed to curing with ultraviolet (UV) radiation at an elevated temperature, thereby helping remove hydrogen from the film and increasing film stress. In accordance with still other embodiments, a silicon nitride film is formed utilizing an integrated process employing a number of deposition/curing cycles to preserve integrity of the film at the sharp corner of the underlying raised feature. Adhesion between successive layers may be promoted by inclusion of a post-UV cure plasma treatment in each cycle.
    Type: Grant
    Filed: June 13, 2007
    Date of Patent: March 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Mihaela Balseanu, Victor Nguyen, Li-Qun Xia, Derek R. Witty, Hichem M'Saad, Mei-Yee Shek, Isabelita Roflox
  • Publication number: 20120061672
    Abstract: Some embodiments include a method of providing a semiconductor device. The method can include: (a) providing a flexible substrate; (b) depositing at least one layer of material over the flexible substrate, wherein the deposition of the at least one layer of material over the flexible substrate occurs at a temperature of at least 180° C.; and (c) providing a diffusion barrier between a metal layer and an a-Si layer. Other embodiments are disclosed in this application.
    Type: Application
    Filed: November 17, 2011
    Publication date: March 15, 2012
    Applicants: Arizona State University
    Inventors: Shawn O'Rourke, Curtis Moyer, Scott Ageno, Dirk Bottesch, Barry O'Brien, Michael Marrs
  • Patent number: 8129290
    Abstract: High tensile stress in a deposited layer such as silicon nitride, may be achieved utilizing one or more techniques, employed alone or in combination. High tensile stress may be achieved by forming a silicon-containing layer on a surface by exposing the surface to a silicon-containing precursor gas in the absence of a plasma, forming silicon nitride by exposing said silicon-containing layer to a nitrogen-containing plasma, and then repeating these steps to increase a thickness of the silicon nitride created thereby. High tensile stress may also be achieved by exposing a surface to a silicon-containing precursor gas in a first nitrogen-containing plasma, treating the material with a second nitrogen-containing plasma, and then repeating these steps to increase a thickness of the silicon nitride formed thereby. In another embodiment, tensile film stress is enhanced by deposition with porogens that are liberated upon subsequent exposure to UV radiation or plasma treatment.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: March 6, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Mihaela Balseanu, Michael S. Cox, Li-Qun Xia, Mei-Yee Shek, Jia Lee, Vladimir Zubkov, Tzu-Fang Huang, Rongping Wang, Isabelita Roflox, Hichem M'Saad
  • Patent number: 8125012
    Abstract: Performance of a non-volatile semiconductor storage device which performs electron writing by hot electrons and hole erasure by hot holes is improved. A non-volatile memory cell which performs a writing operation by electrons and an erasure operation by holes has a p-type well region, isolation regions, a source region, and a drain region provided on an Si substrate. A control gate electrode is formed via a gate insulating film between the source region and the drain region. In a left-side side wall of the control gate electrode, a bottom Si oxide film, an electric charge holding film, a top Si oxide film, and a memory gate electrode are formed. The electric charge holding film is formed from an Si nitride film stoichiometrically excessively containing silicon.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: February 28, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Toshiyuki Mine, Kan Yasui, Tetsuya Ishimaru, Yasuhiro Shimamoto
  • Publication number: 20120045905
    Abstract: Provided is a method of manufacturing a semiconductor device. The method includes: loading a substrate into a process vessel; performing a process to form an film on the substrate by alternately repeating: (a) forming a layer containing an element on the substrate by supplying at least two types of source gases into the process vessel, each of the at least two types of source gases containing the element, and (b) changing the layer containing the element by supplying reaction gas into the process vessel, the reaction gas being different from the at least two types of source gases; and unloading the processed substrate from the process vessel.
    Type: Application
    Filed: November 1, 2011
    Publication date: February 23, 2012
    Inventors: Naonori AKAE, Yoshiro Hirose, Yushin Takasawa, Yosuke Ota, Ryota Sasajima
  • Patent number: 8120124
    Abstract: A method for forming silicon nitride films on semiconductor devices is provided. In one embodiment of the method, a silicon-comprising substrate is first exposed to a mixture of dichlorosilane (DCS) and a nitrogen-comprising gas to deposit a thin silicon nitride seeding layer on the surface, and then exposed to a mixture of silicon tetrachloride (TCS) and a nitrogen comprising gas to deposit a TCS silicon nitride layer on the DCS seeding layer. In another embodiment, the method involves first nitridizing the surface of the silicon-comprising substrate prior to forming the DCS nitride seeding layer and the TCS nitride layer. The method achieves a TCS nitride layer having a sufficient thickness to eliminate bubbling and punch-through problems and provide high electrical performance regardless of the substrate type. Also provided are methods of forming a capacitor, and the resulting capacitor structures.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: February 21, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Lingyi A. Zheng, Er-Xuan Ping
  • Patent number: 8119541
    Abstract: Some example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region. An example embodiment for a NMOS transistor is to form a tensile stress layer over a NMOS transistor. A heavy ion implantation is performed into the stress layer and then an anneal is performed. This increases the amount of stress from the stress layer that the gate retains/memorizes thereby increasing device performance.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: February 21, 2012
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Lee Wee Teo, Elgin Quek
  • Publication number: 20120040536
    Abstract: Embodiments of the present invention provide methods for depositing a nitrogen-containing material on large-sized substrates disposed in a processing chamber. In one embodiment, a method includes processing a batch of substrates within a processing chamber to deposit a nitrogen-containing material on a substrate from the batch of substrates, and performing a seasoning process at predetermined intervals during processing the batch of substrates to deposit a conductive seasoning layer over a surface of a chamber component disposed in the processing chamber. The chamber component may include a gas distribution plate fabricated from a bare aluminum without anodizing. In one example, the conductive seasoning layer may include amorphous silicon, doped amorphous silicon, doped silicon, doped polysilicon, doped silicon carbide, or the like.
    Type: Application
    Filed: August 10, 2011
    Publication date: February 16, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Gaku Furuta, Soo Young Choi, Beom Soo Park, Young-jin Choi, Omori Kenji
  • Patent number: 8114784
    Abstract: Integrated circuits (ICs) commonly contain pre-metal dielectric (PMD) liners with compressive stress to increase electron and hole mobilities in MOS transistors. The increase is limited by the thickness of the PMD liner. The instant invention is a multi-layered PMD liner in an integrated circuit which has a higher stress than single layer PMD liners. Each layer in the inventive PMD liner is exposed to a nitrogen-containing plasma, and which has a compressive stress higher than 1300 MPa. The PMD liner of the instant invention is composed of 3 to 10 layers. The hydrogen content of the first layer may be increased to improve transistor properties such as flicker noise and Negative Bias Temperature Instability (NBTI). An IC containing the inventive PMD liner and a method for forming same are also claimed.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: February 14, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Che-Jen Hu, Rajesh Khamankar
  • Patent number: 8105956
    Abstract: A method of forming silicon oxide includes depositing a silicon nitride-comprising material over a substrate. The silicon nitride-comprising material has an elevationally outermost silicon nitride-comprising surface. Such surface is treated with a fluid that is at least 99.5% H2O by volume. A polysilazane-comprising spin-on dielectric material is formed onto the H2O-treated silicon nitride-comprising surface. The polysilazane-comprising spin-on dielectric material is oxidized to form silicon oxide. Other implementations are contemplated.
    Type: Grant
    Filed: October 20, 2009
    Date of Patent: January 31, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Yunjun Ho, Brent Gilgen
  • Patent number: 8093126
    Abstract: A nonvolatile semiconductor memory device includes a gate portion formed by laminating a tunnel insulating film, floating gate electrode, inter-poly insulating film and control gate electrode on a semiconductor substrate, and source and drain regions formed on the substrate. The tunnel insulating film has a three-layered structure having a silicon nitride film sandwiched between silicon oxide films. The silicon nitride film is continuous in an in-plane direction and has 3-coordinate nitrogen bonds and at least one of second neighboring atoms of nitrogen is nitrogen.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: January 10, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuuichiro Mitani, Daisuke Matsushita, Ryuji Ooba, Isao Kamioka, Yoshio Ozawa
  • Patent number: 8088694
    Abstract: A method of forming a multiple layer passivation film on a semiconductor device surface comprises placing a semiconductor device in a chemical vapor deposition reactor, introducing a nitrogen source into the reactor, introducing a carbon source into the reactor, depositing a layer of carbon nitrogen on the semiconductor device surface, introducing a silicon source into the reactor after the carbon source, and depositing a layer of silicon carbon nitrogen on the carbon nitrogen layer. A semiconductor device incorporating the multiple layer passivation film is also described.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: January 3, 2012
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventor: Gary R. Trott
  • Patent number: 8076251
    Abstract: Provided is a method of manufacturing a semiconductor device. The method includes: loading a substrate into a process vessel; performing a process to form an oxide, nitride, or oxynitride film on the substrate by alternately repeating: (a) forming a layer containing an element on the substrate by supplying and exhausting first and second source gases containing the element into and from the process vessel; and (b) changing the layer containing the element into an oxide, nitride, or oxynitride layer by supplying and exhausting reaction gas different from the first and second source gases into and from the process vessel; and unloading the substrate from the process vessel. The first source gas is more reactive than the second source gas, and an amount of the first source gas supplied into the process vessel is set to be less than that of the second source gas supplied into the process vessel.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: December 13, 2011
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Naonori Akae, Yoshiro Hirose, Yushin Takasawa, Yosuke Ota, Ryota Sasajima
  • Publication number: 20110300722
    Abstract: A substrate processing device comprises a reaction vessel 11 forming a space receiving a substrate 1 and adapted to have a plurality of reaction gases supplied thereto to perform desired processing of the substrate, an exhaust port 16 formed in the reaction vessel 11 for exhausting the reaction vessel 11, and a gas supply system 70A, 70B for supplying at least a plurality of reaction gases into the reaction vessel 11, the gas supply system 70A, 70B including a cleaning gas supply unit for supplying a cleaning gas to perform desired processing of the substrate 1 to thereby remove adherents in the reaction vessel 11, and a post-processing gas supply unit for supplying a post-processing gas capable of removing the elements contained in the cleaning gas remaining in the reaction vessel 11 after the adherents have been removed by supplying the cleaning gas, the post-processing gas containing all of the reaction gases used in performing desired processing of the substrate.
    Type: Application
    Filed: June 8, 2011
    Publication date: December 8, 2011
    Inventors: Masanori Sakai, Nobuhito Shima, Kazuyuki Okuda
  • Patent number: 8058185
    Abstract: Provided are a semiconductor integrated device and a method for fabricating the same. The semiconductor integrated circuit includes a semiconductor substrate including a first dopant, a first conductive layer pattern formed on the semiconductor substrate, an interlayer dielectric layer formed on the first conductive layer pattern, a second conductive layer pattern formed on the interlayer dielectric layer, and a first vacuum ultraviolet (VUV) blocking layer which blocks a VUV ray radiated to the semiconductor substrate.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: November 15, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-ryul Chang, Tae-jung Lee, Sung-hoan Kim, Soo-cheol Lee
  • Publication number: 20110254078
    Abstract: Provided is a method for depositing a silicon nitride film in a plasma CVD device which introduces microwaves into a process chamber by a planar antenna having a plurality of apertures, and the method including setting the pressure in the process chamber within a range from 10 Pa to 133.3 Pa and performing plasma CVD by using film formation gas including a silicon containing compound gas and a nitrogen gas while applying an RF bias to the wafer by supplying high-frequency power with an output density within a range from 0.009 W/cm2 to 0.64 W/cm2 per unit area of a wafer from a high frequency power supply to an electrode in a holding stage on which the wafer is arranged.
    Type: Application
    Filed: June 20, 2011
    Publication date: October 20, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Minoru HONDA, Masayuki KOHNO
  • Patent number: 8039388
    Abstract: The embodiments of methods described in this disclosure for trimming back nitride spacers for replacement gates allows the hard mask layers (or hard mask) to protect the polysilicon above the high-K dielectric during trim back process. The process sequence also allows determining the trim-back amount based on the process uniformity (or control) of nitride deposition and nitride etchback (or trimming) processes. Nitride spacer trim-back process integration is critical to avoid creating undesirable consequences, such as silicided polyisicon on top of high-K dielectric described above. The integrated process also allows widening the space between the gate structures to allow formation of silicide with good quality and allow contact plugs to have sufficient contact with the silicide regions. The silicide with good quality and good contact between the contact plugs and the silicide regions increase the yield of contact and allows the contact resistance to be in acceptable and workable ranges.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: October 18, 2011
    Assignee: Taiwam Semiconductor Manufacturing Company, Ltd.
    Inventors: Jin-Aun Ng, Yu-Ying Hsu, Chi-Ju Lee, Sin-Hua Wu, Bao-Ru Young, Harry-Hak-Lay Chuang
  • Patent number: 8039929
    Abstract: A CMOS device comprising a FinFET comprises at least one fin structure comprising a source region; a drain region; and a channel region comprising silicon separating the source region from the drain region. The FinFET further comprises a gate region comprising a N+ polysilicon layer on one side of the channel region and a P+ polysilicon layer on an opposite side of the channel region, thereby, partitioning the fin structure into a first side and a second side, respectively. The channel region is in mechanical tension on the first side and in mechanical compression on the second side. The FinFET may comprise any of a nFET and a pFET, wherein the nFET comprises a N-channel inversion region in the first side, and wherein the pFET comprises a P-channel inversion region in the second side. The CMOS device may further comprise a tensile film and a relaxed film on opposite sides of the fin structure adjacent to the source and drain regions, and an oxide cap layer over the fin structure.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: October 18, 2011
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 8030223
    Abstract: A solar cell (100) comprising a semiconductor solar cell substrate (66) having a light receiving surface formed on the first major surface and generating photovoltaic power based on the light impinging on the light receiving surface, wherein the light receiving surface of the semiconductor solar cell substrate (66) is coated with a light receiving surface side insulating film (61) composed of an inorganic insulating material where the cationic component principally comprising silicon, and the light receiving surface side insulating film (61) is a low hydrogen content inorganic insulating film containing less than 10 atm % of hydrogen. A solar cell having an insulating film exhibiting excellent passivation effect insusceptible to aging can thereby be provided.
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: October 4, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masatoshi Takahashi, Hiroyuki Ohtsuka, Hideki Matsumura, Atsushi Masuda, Akira Izumi
  • Patent number: 8030222
    Abstract: Methods and structures are provided for increasing alignment margins when contacting pitch multiplied interconnect lines with other conductive features in memory devices. The portions of the lines at the periphery of the memory device are formed at an angle and are widened relative to the portions of the lines in the array region of the memory device. The widened lines allow for an increased margin of error when overlaying other features, such as landing pads, on the lines. The possibility of contacting and causing electrical shorts with adjacent lines is thus minimized. In addition, forming the portions of the lines in the periphery at an angle relative to the portions of the lines in the array regions allows the peripheral portions to be widened while also allowing multiple landing pads to be densely packed at the periphery.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: October 4, 2011
    Assignee: Round Rock Research, LLC
    Inventors: Luan Tran, Bill Stanton
  • Patent number: 8026136
    Abstract: Memory cells comprising: a semiconductor substrate having at least two source/drain regions separated by a channel region; a charge-trapping structure disposed above the channel region; and a gate disposed above the charge-trapping structure; wherein the charge-trapping structure comprises a bottom insulating layer, a first charge-trapping layer, and a second charge-trapping layer, wherein an interface between the bottom insulating layer and the substrate has a hydrogen concentration of less than about 3×1011/cm?2, and methods for forming such memory cells.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: September 27, 2011
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Yen-Hao Shih, Min-Ta Wu, Shih-Chin Lee, Jung-Yu Hsieh, Erh-Kun Lai, Kuang Yeu Hsieh
  • Publication number: 20110223765
    Abstract: A method of forming a passivation layer comprising silicon nitride on features of a substrate is described. In a first stage of the deposition method, a dielectric deposition gas, comprising a silicon-containing gas and a nitrogen-containing gas, is introduced into the process zone and energized to deposit a silicon nitride layer. In a second stage, a treatment gas, having a different composition than that of the dielectric deposition gas, is introduced into the process zone and energized to treat the silicon nitride layer. The first and second stages can be performed a plurality of times.
    Type: Application
    Filed: March 15, 2010
    Publication date: September 15, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Nagarajan RAJAGOPALAN, Xinhai HAN, Ryan YAMASE, Ji Ae PARK, Shamik PATEL, Thomas NOWAK, Zhengjiang "David" CUI, Mehul NAIK, Heung Lak PARK, Ran DING, Bok Hoen KIM
  • Publication number: 20110217851
    Abstract: Methods, materials, and systems are described for forming conformal dielectric layers containing silicon and nitrogen (e.g., a silicon-nitrogen-hydrogen (Si—N—H) film) from a carbon-free silicon-and-nitrogen precursor and radical-nitrogen precursor. The carbon-free silicon-and-nitrogen precursor is predominantly excited by contact with the radical-nitrogen precursor. Because the silicon-and-nitrogen film is formed without carbon, the conversion of the film into hardened silicon oxide is done with less pore formation and less volume shrinkage. The deposited silicon-and-nitrogen-containing film may be wholly or partially converted to silicon oxide which allows the optical properties of the conformal dielectric layer to be selectable. The deposition of a thin silicon-and-nitrogen-containing film may be performed at low temperature to form a liner layer in a substrate trench.
    Type: Application
    Filed: February 10, 2011
    Publication date: September 8, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Jingmei Liang, Xiaolin Chen, DongQing Li, Nitin K. Ingle
  • Patent number: 8008216
    Abstract: Metal Oxide Semiconductor (MOS) transistors fabricated using current art may utilize a nitridation process on the gate dielectric to improve transistor reliability. Nitridation by the current art, which involves exposing the gate dielectric to a nitridation source, produces a significant concentration of nitrogen at the interface of the gate dielectric and the transistor substrate, which adversely affects transistor performance. This invention comprises the process of depositing a sacrificial layer on the gate dielectric prior to nitridation, exposing the sacrificial layer to a nitridation source, during which time nitrogen atoms diffuse through the sacrificial layer into the gate dielectric, then removing the sacrificial layer without degrading the gate dielectric. Work associated with this invention on high-k gate dielectrics has demonstrated a 20 percent reduction in nitrogen concentration at the gate dielectric—transistor substrate interface.
    Type: Grant
    Filed: September 24, 2007
    Date of Patent: August 30, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Husam Alshareef, Manuel Quevedo Lopez
  • Patent number: 7994073
    Abstract: A low stress sacrificial cap layer 120 having a silicon oxide liner film 130, a low stress silicon film 140, and a silicon nitride film. Alternatively, a low stress sacrificial cap layer 410 having a silicon oxide liner film 130 and a graded silicon nitride film 420. Also, methods 300, 500 for fabricating a transistor 20, 400 having a low stress sacrificial cap layer 120, 410.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: August 9, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Periannan Chidambaram, Srinivasan Chakravarthi
  • Patent number: 7994070
    Abstract: A method for depositing a dielectric film on a substrate includes positioning a plurality of substrates in a process chamber, heating the process chamber to a deposition temperature between 400° C. and less than 650° C., flowing a first process gas comprising water vapor into the process chamber, flowing a second process gas comprising dichlorosilane (DCS) into the process chamber, establishing a gas pressure of less than 2 Torr, and reacting the first and second process gases to thermally deposit a silicon oxide film on the plurality of substrates. One embodiment further includes flowing a third process gas comprising nitric oxide (NO) gas into the process chamber while flowing the first process gas and the second process gas; and reacting the oxide film with the third process gas to form a silicon oxynitride film on the substrate.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: August 9, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Anthony Dip, Kimberly G Reid
  • Patent number: 7989324
    Abstract: The present invention provides an SOS wafer comprising a non-transparent polysilicon layer provided on a back surface of a sapphire substrate, a silicon nitride layer which protects the polysilicon layer, and a stress relaxing film which cancels stress produced in the silicon nitride layer, wherein the silicon nitride layer and the stress relaxing film are provided on the back surface side.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: August 2, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kimiaki Shimokawa
  • Patent number: 7988875
    Abstract: A method and apparatus is provided for controlling the etch profile of a multilayer layer stack by depositing a first and second material layer with differential etch rates in the same or different processing chamber.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: August 2, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Gaku Furuta
  • Publication number: 20110183528
    Abstract: Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as low dielectric constant (k) thin films, high k gate silicates, low temperature silicon epitaxial films, and films containing silicon nitride (Si3N4), siliconoxynitride (SioxNy) and/or silicon dioxide (SiO2). The precursors of the invention are amenable to use in low temperature (e.g., <500° C.) chemical vapor deposition processes, for fabrication of ULSI devices and device structures.
    Type: Application
    Filed: March 22, 2011
    Publication date: July 28, 2011
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Ziyun Wang, Chongying Xu, Ravi K. Laxman, Thomas H. Baum, Bryan C. Hendrix, Jeffrey F. Roeder
  • Patent number: 7981303
    Abstract: A novel silicon micromirror structure for improving image fidelity in laser pattern generators is presented. In some embodiments, the micromirror is formed from monocrystalline silicon. Analytical- and finite element analysis of the structure as well as an outline of a fabrication scheme to realize the structure are given. The spring constant of the micromirror structure can be designed independently of the stiffness of the mirror-surface. This makes it possible to design a mirror with very good planarity, resistance to sagging during actuation, and it reduces influence from stress in reflectivity-increasing multilayer coatings.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: July 19, 2011
    Assignee: Micronic MyData AB
    Inventors: Martin Bring, Peter Enoksson
  • Patent number: 7972979
    Abstract: Provided is a substrate processing method comprising: loading a substrate, on which polysilazane is applied, into a substrate process chamber; maintaining an inside of the substrate process chamber, into which the substrate is loaded, in water vapor atmosphere and depressurization atmosphere at a temperature of 400° C.; performing a first heat treatment process on the substrate in a state where the inside of the substrate process chamber is maintained in the water vapor atmosphere and the depressurization atmosphere at the temperature of 400° C.; next, increasing an inner temperature of the substrate process chamber from the temperature of 400° C. in the first heat treatment process to a temperature ranging from 900° C. to 1000° C.; and performing a second heat treatment process on the substrate in a state where the inside of the substrate process chamber is maintained in water vapor atmosphere and depressurization atmosphere at the temperature ranging from 900° C. to 1000° C.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: July 5, 2011
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Toru Harada, Masayoshi Minami
  • Publication number: 20110159703
    Abstract: Methods of forming dielectric layers are described. The method may include the steps of mixing a silicon-containing precursor with a radical-nitrogen precursor, and depositing a dielectric layer on a substrate. The radical-nitrogen precursor is formed in a remote plasma by flowing hydrogen (H2) and nitrogen (N2) into the plasma in order to allow adjustment of the nitrogen/hydrogen ratio. The dielectric layer is initially a silicon-and-nitrogen-containing layer which may be converted to a silicon-and-oxygen-containing layer by curing and/or annealing the film in an oxygen-containing environment.
    Type: Application
    Filed: December 16, 2010
    Publication date: June 30, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Jingmei Liang, Xiaolin Chen, Matthew L. Miller, Nitin K. Ingle, Shankar Venkataraman
  • Publication number: 20110151678
    Abstract: Novel gap fill schemes involving depositing both flowable oxide films and high density plasma chemical vapor deposition oxide (HDP oxide) films are provided. According to various embodiments, the flowable oxide films may be used as a sacrificial layer and/or as a material for bottom up gap fill. In certain embodiments, the top surface of the filled gap is an HDP oxide film. The resulting filled gap may be filled only with HDP oxide film or a combination of HDP oxide and flowable oxide films. The methods provide improved top hat reduction and avoid clipping of the structures defining the gaps.
    Type: Application
    Filed: December 9, 2010
    Publication date: June 23, 2011
    Inventors: Kaihan Ashtiani, Michael Wood, John Drewery, Naohiro Shoda, Bart van Schravendijk, Lakshminarayana Nittala, Nerissa Draeger
  • Patent number: 7964513
    Abstract: Multiple sequential processes are conducted in a reaction chamber to form ultra high quality silicon-containing compound layers, including silicon nitride layers. In a preferred embodiment, a silicon layer is deposited on a substrate using trisilane as the silicon precursor. A silicon nitride layer is then formed by nitriding the silicon layer. By repeating these steps, a silicon nitride layer of a desired thickness is formed.
    Type: Grant
    Filed: August 24, 2009
    Date of Patent: June 21, 2011
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Keith D. Weeks, Christiaan J. Werkhoven, Christophe F. Pomarede
  • Patent number: 7964516
    Abstract: A method for using a film formation apparatus includes, in order to inhibit metal contamination: performing a cleaning process using a cleaning gas on an inner wall of a process container and a surface of a holder with no productive target objects held thereon; and then, performing a coating process of forming a silicon nitride film by alternately supplying a silicon source gas and a nitriding gas to cover with the silicon nitride film the inner wall of the process container and the surface of the holder with no productive target objects held thereon.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: June 21, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Okada, Yamato Tonegawa
  • Patent number: 7951730
    Abstract: Methods for forming silicon nitride hard masks are provided. The silicon nitride hard masks include carbon-doped silicon nitride layers and undoped silicon nitride layers. Carbon-doped silicon nitride layers that are deposited from a mixture comprising a carbon source compound, a silicon source compound, and a nitrogen source in the presence of RF power are provided. Also provided are methods of UV post-treating silicon nitride layers to provide silicon nitride hard masks. The carbon-doped silicon nitride layers and UV post-treated silicon nitride layers have desirable wet etch rates and dry etch rates for hard mask layers.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: May 31, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ritwik Bhatia, Li-Qun Xia, Chad Peterson, Hichem M'Saad
  • Patent number: 7928016
    Abstract: A method for manufacturing a semiconductor device is provided that can reduce warping of manufactured products after the formation of a final protective film. The method includes, in a semiconductor device having a semiconductor substrate provided with wiring and a final protective film formed on the wiring, forming a first protective film on the wiring, forming a second protective film having tensile stress on the first protective film, and removing the first protective film and the second protective film from contact regions of the wiring.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: April 19, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Hiroyuki Kawano
  • Patent number: 7923378
    Abstract: A silicon-containing insulating film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas including di-iso-propylaminosilane gas and a second process gas including an oxidizing gas or nitriding gas. The film is formed by performing a plurality of times a cycle alternately including first and second steps. The first step performs supply of the first process gas, thereby forming an adsorption layer containing silicon on a surface of the target substrate. The second performs supply of the second process gas, thereby oxidizing or nitriding the adsorption layer on the surface of the target substrate. The second step includes an excitation period of supplying the second process gas to the process field while exciting the second process gas by an exciting mechanism.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: April 12, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Shigeru Nakajima, Jun Ogawa
  • Patent number: 7915179
    Abstract: In a method for forming an insulating film by performing plasma nitriding process to an oxide film on a substrate and then by annealing the substrate in a process chamber (51), the substrate is annealed under a low pressure of 667 Pa or lower. The annealing is performed for 5 or 45 seconds. The plasma nitriding process is performed by microwave plasma by using a planar antenna whereupon a multitude of slot holes are formed.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: March 29, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yoshihiro Sato, Tomoe Nakayama, Hiroshi Kobayashi, Yoshinori Osaki, Tetsuro Takahashi
  • Patent number: 7915166
    Abstract: Films having high hermeticity and a low dielectric constant can be used as copper diffusion barrier films, etch stop films, CMP stop films and other hardmasks during IC fabrication. Hermetic films can protect the underlying layers, such as layers of metal and dielectric, from exposure to atmospheric moisture and oxygen, thereby preventing undesirable oxidation of metal surfaces and absorption of moisture by a dielectric. Specifically, a bi-layer film having a hermetic bottom layer composed of hydrogen doped carbon and a low dielectric constant (low-k) top layer composed of low-k silicon carbide (e.g., high carbon content hydrogen doped silicon carbide) can be employed. Such bi-layer film can be deposited by PECVD methods on a partially fabricated semiconductor substrate having exposed layers of dielectric and metal.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: March 29, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Yongsik Yu, Pramod Subramonium, Zhiyuan Fang, Jon Henri, Elizabeth Apen, Dan Vitkavage
  • Patent number: 7910474
    Abstract: An object of the present invention is to provide a semiconductor device which comprises a barrier film having a high etching selection ratio of the interlayer insulating film thereto, a good preventive function against the Cu diffusion, a low dielectric constant and excellent adhesiveness to the Cu interconnection and a manufacturing method thereof.
    Type: Grant
    Filed: April 4, 2008
    Date of Patent: March 22, 2011
    Assignees: NEC Corporation, Renesas Electronics Corporation
    Inventors: Tatsuya Usami, Noboru Morita, Koichi Ohto, Kazuhiko Endo
  • Publication number: 20110065288
    Abstract: Provided is a substrate processing method comprising: loading a substrate, on which polysilazane is applied, into a substrate process chamber; maintaining an inside of the substrate process chamber, into which the substrate is loaded, in water vapor atmosphere and depressurization atmosphere at a temperature of 400° C.; performing a first heat treatment process on the substrate in a state where the inside of the substrate process chamber is maintained in the water vapor atmosphere and the depressurization atmosphere at the temperature of 400° C.; next, increasing an inner temperature of the substrate process chamber from the temperature of 400° C. in the first heat treatment process to a temperature ranging from 900° C. to 1000° C.; and performing a second heat treatment process on the substrate in a state where the inside of the substrate process chamber is maintained in water vapor atmosphere and depressurization atmosphere at the temperature ranging from 900° C. to 1000° C.
    Type: Application
    Filed: August 17, 2010
    Publication date: March 17, 2011
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventors: Toru HARADA, Masayoshi MINAMI
  • Publication number: 20110065289
    Abstract: There are provided a method of manufacturing a semiconductor device and a substrate processing apparatus by which the quality of a silicon nitride film can be improved. The method comprises: supplying a silicon-containing gas into a process chamber in which a substrate is accommodated in a heated state; and supplying a nitrogen-containing gas into the process chamber. The supplying of the silicon-containing gas and the supplying of the nitrogen-containing gas are alternately repeated to form a silicon nitride film on the substrate. The process chamber is switched at least once between an exhaust stop state and an exhaust operation state during the supplying of the nitrogen-containing gas so as to vary an inside pressure of the process chamber in a manner such that the maximum inside pressure of the process chamber is twenty or more times the minimum inside pressure of the process chamber.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 17, 2011
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventor: Masayuki ASAI
  • Patent number: 7902088
    Abstract: A method is provided for fabricating a high quantum efficiency silicon (Si) nanoparticle embedded SiOXNY film for luminescence (electroluminescence—EL and photoluminescence—PL) applications. The method provides a bottom electrode, and deposits a Si nanoparticle embedded non-stoichiometric SiOXNY film, where (X+Y<2 and Y>0), overlying the bottom electrode. The Si nanoparticle embedded SiOXNY film is annealed. The annealed Si nanoparticle embedded SiOXNY film has an extinction coefficient (k) of less than about 0.001 as measured at 632 nanometers (nm), and a PL quantum efficiency (PLQE) of greater than 20%.
    Type: Grant
    Filed: October 11, 2008
    Date of Patent: March 8, 2011
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Pooran Chandra Joshi, Jiandong Huang, Apostolos T. Voutsas
  • Patent number: 7902089
    Abstract: An object of the present invention is to provide a new n-type transistor, different from the prior art, using a channel having a nanotube-shaped structure, and having n-type semiconductive properties. To realize this, a film of a nitrogenous compound 6 is formed directly on a channel 5 of a transistor 1 comprising a source electrode 2, a drain electrode 3, a gate electrode 4 and the n-type channel 5 having a nanotube-shaped structure and provided between the source electrode 2 and the drain electrode 3.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: March 8, 2011
    Assignee: Japan Science and Technology Agency
    Inventors: Kazuhiko Matsumoto, Atsuhiko Kojima, Satoru Nagao
  • Publication number: 20110049641
    Abstract: In sophisticated semiconductor devices, an efficient adjustment of an intrinsic stress level of dielectric materials, such as contact etch stop layers, may be accomplished by selectively exposing the dielectric material to radiation, such as ultraviolet radiation. Consequently, different stress levels may be efficiently obtained without requiring sophisticated stress relaxation processes based on ion implantation, which typically leads to significant device failures.
    Type: Application
    Filed: August 24, 2010
    Publication date: March 3, 2011
    Inventors: Jan Hoentschel, Uwe Griebenow, Roman Boschke
  • Patent number: 7897450
    Abstract: Encapsulation of a gate stack comprising a high-k dielectric material may be accomplished on the basis of a silicon nitride material that is deposited in a sequence of two deposition processes, in which the first process may be performed on the basis of a moderately low process temperature, thereby passivating sensitive surfaces without unduly contaminating the same, while, in a second deposition process, a moderately high process temperature may be used to provide enhanced material characteristics and a reduced overall cycle time compared to conventional ALD or multi-layer deposition techniques.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: March 1, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Fabian Koehler, Katy Schabernack, Falk Graetsch