Ionized Irradiation (e.g., Corpuscular Or Plasma Treatment, Etc.) Patents (Class 438/798)
  • Publication number: 20140312469
    Abstract: Various embodiments may be used for laser-based modification of target material of a workpiece while advantageously achieving improvements in processing throughput and/or quality. Embodiments of a method of processing may include focusing and directing laser pulses to a region of the workpiece at a pulse repetition rate sufficiently high so that material is efficiently removed from the region and a quantity of unwanted material within the region, proximate to the region, or both is reduced relative to a quantity obtainable at a lower repetition rate. Embodiments of an ultrashort pulse laser system may include a fiber amplifier or fiber laser. Various embodiments are suitable for at least one of dicing, cutting, scribing, and forming features on or within a semiconductor substrate. Workpiece materials may include metals, inorganic or organic dielectrics, or any material to be micromachined with femtosecond, picosecond, and/or nanosecond pulses.
    Type: Application
    Filed: June 30, 2014
    Publication date: October 23, 2014
    Inventors: Lawrence Shah, Gyu Cheon Cho, Jingzhou Xu
  • Patent number: 8859443
    Abstract: The first flash irradiation is performed on a semiconductor wafer preheated to 500° C. to heat a front surface of the semiconductor wafer. Thereafter, the second flash irradiation is performed to reheat the front surface of the semiconductor wafer before the temperature of the front surface of the semiconductor wafer becomes equal to the temperature of a back surface of the semiconductor wafer. Thus, the second flash irradiation is performed before the temperature of the front surface of the semiconductor wafer falls. Even if less energy is consumable by the second flash irradiation, the efficiency of heating of the front surface of the semiconductor wafer resulting from each iteration of the flash irradiation is improved.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: October 14, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Kenichi Yokouchi
  • Publication number: 20140302621
    Abstract: A semiconductor device manufacturing method is disclosed by which electron beam irradiation is accomplished at a low cost while exhibiting uniform characteristics. A wafer stack consisting of multiple stacked wafers is irradiated with an electron beam from both the front surface and reverse surface. As such, a semiconductor device manufacturing method is provided whereby the electrical characteristics are extremely uniform between wafers, and costs are reduced by reducing the number of electron beam irradiations.
    Type: Application
    Filed: April 7, 2014
    Publication date: October 9, 2014
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Yasushi NIIMURA
  • Patent number: 8847280
    Abstract: An improved insulated gate field effect device is obtained by providing a substrate desirably comprising a III-V semiconductor, having a further semiconductor layer on the substrate adapted to contain the channel of the device between spaced apart source-drain electrodes formed on the semiconductor layer. A dielectric layer is formed on the semiconductor layer. A sealing layer is formed on the dielectric layer and exposed to an oxygen plasma. A gate electrode is formed on the dielectric layer between the source-drain electrodes. The dielectric layer preferably comprises gallium-oxide and/or gadolinium-gallium oxide, and the oxygen plasma is preferably an inductively coupled plasma. A further sealing layer of, for example, silicon nitride is desirably provided above the sealing layer. Surface states and gate dielectric traps that otherwise adversely affect leakage and channel sheet resistance are much reduced.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: September 30, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jonathan K. Abrokwah, Ravindranath Droopad, Matthias Passlack
  • Publication number: 20140273538
    Abstract: This disclosure relates to a plasma processing system for controlling plasma density near the edge or perimeter of a substrate that is being processed. The plasma processing system may include a plasma chamber that can receive and process the substrate using plasma for etching the substrate, doping the substrate, or depositing a film on the substrate. This disclosure relates to a plasma processing system that may be configured to enable non-ambipolar diffusion to counter ion loss to the chamber wall. The plasma processing system may include a ring cavity coupled to the plasma processing system that is in fluid communication with plasma generated in the plasma processing system. The ring cavity may be coupled to a power source to form plasma that may diffuse ions into the plasma processing system to minimize the impact of ion loss to the chamber wall.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Lee Chen, Zhiying Chen, Jianping Zhao, Merritt Funk
  • Publication number: 20140273536
    Abstract: A charged particle beam writing apparatus according to an embodiment includes: a beam emitter configured to emit a charged particle beam; an aperture having an opening portion through which the charged particle beam emitted by the beam emitter passes; an aperture beam tube being provided on a surface of the aperture and functioning as a thermally conductive member having thermal conductivity; and a heater provided on a surface of the aperture beam tube and configured to supply heat to the aperture via the aperture beam tube.
    Type: Application
    Filed: February 28, 2014
    Publication date: September 18, 2014
    Applicant: NuFlare Technology, Inc.
    Inventor: Tetsuro NISHIYAMA
  • Publication number: 20140273537
    Abstract: A plasma reactor includes an enclosure having a top and a bottom and defining a processing chamber. Inlets are formed in the enclosure for injecting process gas into the chamber. An outlet is formed in the enclosure for withdrawing gas from the chamber. A platform is positioned to support a wafer in the chamber above the bottom. A plurality of coils is positioned above the top of the chamber. Each coil is coupled to a radio frequency generator.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Ching LO, Po-Hsiung LEU, Tzu-Chun LIN, Ding-I LIU, Jen-Chi CHANG, Ho-Ta CHUANG
  • Patent number: 8828744
    Abstract: A method for etching trenches in an etch layer disposed below a patterned organic mask is provided. The patterned organic mask is treated, comprising flowing a treatment gas comprising H2 and N2, forming a plasma from the treatment gas, making patterned organic mask more resistant to wiggling, and stopping the flow of the treatment gas. Trenches are etched in the etch layer through the patterned organic mask.
    Type: Grant
    Filed: September 24, 2012
    Date of Patent: September 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Joseph J. Vegh, Yungho Noh
  • Patent number: 8822264
    Abstract: An object is to provide favorable interface characteristics of a thin film transistor including an oxide semiconductor layer without mixing of an impurity such as moisture. Another object is to provide a semiconductor device including a thin film transistor having excellent electric characteristics and high reliability, and a method by which a semiconductor device can be manufactured with high productivity. A main point is to perform oxygen radical treatment on a surface of a gate insulating layer. Accordingly, there is a peak of the oxygen concentration at an interface between the gate insulating layer and a semiconductor layer, and the oxygen concentration of the gate insulating layer has a concentration gradient. The oxygen concentration is increased toward the interface between the gate insulating layer and the semiconductor layer.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: September 2, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Kengo Akimoto
  • Publication number: 20140213071
    Abstract: A laser annealing method for executing laser annealing by irradiating a semiconductor film formed on a surface of a substrate with a laser beam, the method including the steps of, generating a linearly polarized rectangular laser beam whose cross section perpendicular to an advancing direction is a rectangle with an electric field directed toward a long-side direction of the rectangle or an elliptically polarized rectangular laser beam having a major axis directed toward a long-side direction, causing the rectangular laser beam to be introduced to the surface of the substrate, and setting a wavelength of the rectangular laser beam to a length which is about a desired size of a crystal grain in a standing wave direction.
    Type: Application
    Filed: December 23, 2013
    Publication date: July 31, 2014
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ryusuke KAWAKAMI, Kenichirou NISHIDA, Norihito KAWAGUCHI, Miyuki MASAKI, Atsushi YOSHINOUCHI
  • Patent number: 8790982
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then reoxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: July 29, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Pai-Hung Pan
  • Patent number: 8778746
    Abstract: A thin-film transistor device manufacturing method forms a plurality of gate electrodes above a substrate. A silicon nitride layer is formed on the plurality of gate electrodes. A silicon oxide layer is formed on the silicon nitride layer. An amorphous silicon layer is formed on the silicon oxide layer. The amorphous silicon layer is crystallized using predetermined laser light to produce a crystalline silicon layer. A source electrode and a drain electrode are formed on the crystalline silicon layer in a region that corresponds to each of the plurality of gate electrodes. A film thickness of the silicon oxide layer, a film thickness of the silicon nitride layer, and a film thickness of the amorphous silicon layer satisfy predetermined conditional expressions.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: July 15, 2014
    Assignee: Panasonic Corporation
    Inventor: Yuta Sugawara
  • Patent number: 8772130
    Abstract: In order to keep the crystallinity of the semiconductor thin film layer high, a temperature of a semiconductor substrate during hydrogen ion addition treatment is suppressed to lower than or equal to 200° C. In addition, the semiconductor substrate is subjected to plasma treatment while the semiconductor substrate is kept at a temperature of higher than or equal to 100° C. and lower than or equal to 400° C. after the hydrogen ion addition treatment, whereby Si—H bonds which have low contribution to separation of the semiconductor thin film layer can be reduced while Si—H bonds which have high contribution to separation of the semiconductor thin film layer, which are generated by the hydrogen ion addition treatment, are kept.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: July 8, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hiroshi Ohki
  • Patent number: 8772160
    Abstract: An object of the present invention is to provide an apparatus for successive deposition used for manufacturing a semiconductor element including an oxide semiconductor in which impurities are not included. By using the deposition apparatus capable of successive deposition of the present invention that keeps its inside in high vacuum state, and thus allows films to be deposited without being exposed to the air, the entry of impurities such as hydrogen into the oxide semiconductor layer and the layer being in contact with the oxide semiconductor layer can be prevented; as a result, a semiconductor element including a high-purity oxide semiconductor layer in which hydrogen concentration is sufficiently reduced can be manufactured. In such a semiconductor element, off-state current is low, and a semiconductor device with low power consumption can be realized.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: July 8, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Natsuko Takase
  • Patent number: 8772183
    Abstract: A method of forming an integrated circuit is disclosed. A second material layer is formed on a first material layer. A patterned mask layer having a plurality of first features with a first pitch P1 is formed on the second material layer. The second material layer is etched by using the patterned mask layer as a mask to form the first features in the second material layer. The patterned mask layer is trimmed. A plurality of dopants is introduced into the second material layer not covered by the trimmed patterned mask layer. The trimmed patterned mask layer is removed to expose un-doped second material layer. The un-doped second material layer is selectively removed to form a plurality of second features with a second pitch P2. P2 is smaller than P1.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: July 8, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tzu-Yen Hsieh, Chang Ming-Ching, Chun-Hung Lee, Yih-Ann Lin, De-Fang Chen, Chao-Cheng Chen
  • Patent number: 8772182
    Abstract: A semiconductor device manufacture method has the steps of: (a) coating a low dielectric constant low-level insulating film above a semiconductor substrate formed with a plurality of semiconductor elements; (b) processing the low-level insulating film to increase a mechanical strength of the low-level insulating film; (c) coating a low dielectric constant high-level insulating film above the low-level insulating film; and (d) forming a buried wiring including a wiring pattern in the high-level insulating film and a via conductor in the low-level insulating film. The low-level insulating film and high-level insulating film are made from the same material. The process of increasing the mechanical strength includes an ultraviolet ray irradiation process or a hydrogen plasma applying process.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: July 8, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Yoshiyuki Ohkura
  • Publication number: 20140187056
    Abstract: In accordance with one aspect of this invention, a multi charged particle beam writing apparatus includes an aperture member, in which a plurality of openings are formed, configured to form multi-beams by making portions of the charged particle beam pass through the plurality of openings; a plurality of blankers configured to perform blanking-deflect regarding beams corresponding to the multi-beams; a writing processing control unit configured to control writing processing with a plurality of beams having passed through different openings among the plurality of openings being irradiated on the target object at a predetermined control grid interval; and a dose controlling unit configured to variably control a dose of a beam associated with deviation according to a deviation amount when an interval between the plurality of beams irradiated is deviated from the control grid interval.
    Type: Application
    Filed: March 6, 2014
    Publication date: July 3, 2014
    Applicant: NuFlare Technology, Inc.
    Inventors: Ryoichi YOSHIKAWA, Munehiro Ogasawara
  • Publication number: 20140162466
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which a plurality of charged particle beam shots is determined which will produce a pattern on a reticle, where the reticle is to be used to form an aerial image on a resist-coated substrate using an optical lithographic process. A simulated reticle pattern is then calculated from the plurality of charged particle beam shots. A calculated aerial substrate image is then calculated using the simulated reticle pattern, and a shot in the plurality of shots is modified to improve the calculated aerial substrate image. Similar methods for forming a pattern on a reticle and for manufacturing an integrated circuit are also disclosed.
    Type: Application
    Filed: February 11, 2014
    Publication date: June 12, 2014
    Applicant: D2S, INC.
    Inventor: Akira Fujimura
  • Patent number: 8735233
    Abstract: A crystalline silicon thin film is formed by irradiating a silicon thin film with a laser beam. The laser beam is a continuous wave laser beam. An intensity distribution of the laser beam in a first region about a center of the intensity distribution is symmetric on an anterior side and a posterior side of the center. The intensity distribution in a second region about the center is asymmetric on the anterior side and the posterior side. The first region is from the maximum intensity of the laser beam at the center to an intensity half of the maximum intensity. The second region is at most equal to the half of the maximum intensity of the laser beam. In the second region, an integral intensity value on the posterior side is larger than on the anterior side.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: May 27, 2014
    Assignee: Panasonic Corporation
    Inventors: Tomohiko Oda, Takahiro Kawashima
  • Patent number: 8735301
    Abstract: A method for manufacturing a semiconductor integrated circuit includes providing a substrate having at least a metal hard mask formed thereon. Subsequently a patterning step is performed to the metal hard mask to form a patterned metal hard mask and followed by performing a H2O plasma treatment to the patterned metal hard mask.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: May 27, 2014
    Assignee: United Microelectronics Corp.
    Inventor: Chun-Lung Chen
  • Patent number: 8716153
    Abstract: A device for producing a microwave plasma, and a device and a method for treating semiconductor substrates with a microwave plasma, the microwave plasma device comprising at least one electrode (21, 22, 23), an electrode (21, 22, 23) comprising a coaxial inner conductor (21) made of electrically conductive material and a coaxial outer conductor (22) made of electrically conductive material and surrounding the inner conductor at least partially and being disposed at a distance thereto, and a plasma ignition device (23) that is connected to the coaxial inner conductor (21), characterized in that the coaxial outer conductor (22) comprises at least one first partial region (31) in which it completely surrounds the coaxial inner conductor (21) along the longitudinal axis thereof and comprises at least one further partial region (32) in which it surrounds the coaxial inner conductor (21) partially such that microwave radiation generated by the microwave generator (20) can exit in the at least one further partial re
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: May 6, 2014
    Assignee: HQ-Dielectrics GmbH
    Inventors: Wilfried Lerch, Zsolt Nenyel, Thomas Theiler
  • Publication number: 20140094040
    Abstract: In a plasma torch unit, copper rods forming a coil as a whole are disposed inside copper rod inserting holes formed in a quartz block so that the quartz block is cooled by water flowing inside the copper rod inserting holes and cooling water pipes. A plasma ejection port is formed on the lowermost portion of the torch unit. While a gas is being supplied into a space inside an elongated chamber, high-frequency power is supplied to the copper rods to generate plasma in the space inside the elongated chamber so that the plasma is applied to a substrate.
    Type: Application
    Filed: December 5, 2013
    Publication date: April 3, 2014
    Applicant: Panasonic Corporation
    Inventors: Tomohiro OKUMURA, Ichiro NAKAYAMA, Hiroshi KAWAURA, Tetsuya YUKIMOTO
  • Patent number: 8673705
    Abstract: [Object] To provide a method of producing a thin film transistor superior in productivity and capable of preventing variation in transistor characteristics among devices from occurring to improve carrier mobility, and a thin film transistor. [Solving Means] In a method of producing a thin-film transistor according to the present invention, a solid-state green laser is irradiated onto a channel portion of an amorphous silicon film using a source electrode film and a drain electrode film as masks, thereby improving mobility. Since the channel portion of the amorphous silicon film is crystallized by the irradiation of the solid-state green laser, laser oscillation characteristics can be more stable than in a conventional method that uses an excimer laser. Further, laser irradiation onto a large-size substrate at uniform output characteristics in plane becomes possible, with the result that a variation in crystallinity of channel portions among devices can be avoided.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: March 18, 2014
    Assignee: Ulvac, Inc.
    Inventors: Taro Morimura, Toru Kikuchi, Masanori Hashimoto, Shin Asari, Kazuya Saito, Kyuzo Nakamura
  • Patent number: 8674359
    Abstract: A thin film transistor (TFT), an array substrate including the TFT, and methods of manufacturing the TFT and the array substrate. The TFT includes an active layer, and a metal member that corresponds to a portion of each of the source region and the drain region of the active layer, and is arranged on the active layer, a portion of the metal member contacts the source and drain regions of the active layer and the source and drain electrodes, and portions of the active layer that corresponds to portions below the metal member of the active layer are not doped.
    Type: Grant
    Filed: April 4, 2011
    Date of Patent: March 18, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Dae-Hyun Noh, Sung-Ho Kim
  • Publication number: 20140073146
    Abstract: Provided are a reaction tube, a substrate processing apparatus, and a method of manufacturing a semiconductor device capable of suppressing a non-uniform distribution of a gas in a top region to improve the flow of the gas and film uniformity within and between substrate surfaces. The reaction tube has a cylindrical shape, accommodates a plurality of substrates stacked therein, and includes a cylindrical portion and a ceiling portion covering an upper end portion of the cylindrical portion, the ceiling portion having a substantially flat top inner surface. A thickness of a sidewall of the ceiling portion is greater than that of a sidewall of the cylindrical portion.
    Type: Application
    Filed: September 12, 2013
    Publication date: March 13, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Satoshi OKADA, Kosuke TAKAGI, Yukinao KAGA
  • Patent number: 8652952
    Abstract: Methods and apparatus provide for: a first source of plasma, wherein the plasma includes a first species of ions; a second source of plasma, wherein the plasma includes a second species of ions; selection of the plasma from the first and second sources; and acceleration the first species of ions or the second species of ions toward a semiconductor wafer.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: February 18, 2014
    Assignee: Corning Incorporated
    Inventor: Sarko Cherekdjian
  • Publication number: 20140034961
    Abstract: The terminating layer that covers the top layer of a GaN-based semiconductor having a principal surface which is either a non-polar plane or a semi-polar plane, is removed by performing an organic solvent cleaning process step, and replaced with an organic solvent cleaned layer. Next, by irradiating the semiconductor with an ultraviolet ray, the organic solvent cleaned layer is removed to form a surface-modified layer instead. By performing these process steps, the top layer of the GaN-based semiconductor becomes the surface-modified layer and an electrical polarity is given to the surface of the GaN-based semiconductor. As a result, the hydrophilicity, hydrophobicity and wettability of the GaN-based semiconductor can be controlled.
    Type: Application
    Filed: October 7, 2013
    Publication date: February 6, 2014
    Applicant: Panasonic Corporation
    Inventors: Masaki FUJIKANE, Akira INOUE, Toshiya YOKOGAWA
  • Patent number: 8642135
    Abstract: Systems and methods for plasma doping microfeature workpieces are disclosed herein. In one embodiment, a method of implanting boron ions into a region of a workpiece includes generating a plasma in a chamber, selectively applying a pulsed electrical potential to the workpiece with a duty cycle of between approximately 20 percent and approximately 50 percent, and implanting an ion specie into the region of the workpiece.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: February 4, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Patent number: 8623471
    Abstract: A plasma treatment system for treating a workpiece with a downstream-type plasma. The processing chamber of the plasma treatment system includes a chamber lid having a plasma cavity disposed generally between a powered electrode and a grounded plate, a processing space separated from the plasma cavity by the grounded plate, and a substrate support in the processing space for holding the workpiece. A direct plasma is generated in the plasma cavity. The grounded plate is adapted with openings that remove electrons and ions from the plasma admitted from the plasma cavity into the processing space to provide a downstream-type plasma of free radicals. The openings may also eliminate line-of-sight paths for light between the plasma cavity and processing space. In another aspect, the volume of the processing chamber may be adjusted by removing or inserting at least one removable sidewall section from the chamber lid.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: January 7, 2014
    Assignee: Nordson Corporation
    Inventors: James S. Tyler, James D. Getty, Robert S. Condrashoff, Thomas V. Bolden, II
  • Publication number: 20140004717
    Abstract: A method for repairing and lowering the dielectric constant of low-k dielectric layers used in semiconductor fabrication is provided. In one implementation, a method of repairing a damaged low-k dielectric layer comprising exposing the porous low-k dielectric layer to a vinyl silane containing compound and optionally exposing the porous low-k dielectric layer to an ultraviolet (UV) cure process.
    Type: Application
    Filed: May 28, 2013
    Publication date: January 2, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kelvin CHAN, Alexandros T. DEMOS
  • Publication number: 20130323937
    Abstract: A processing system for forming a cross-section of an object. The processing system comprises a focused ion beam system for forming the cross-section from a pre-prepared surface region of the object and a laser and a light optical system for forming the pre-prepared surface region by laser ablation of a processing region of the object with a first and a second laser beam. The light optical system is configured to direct the first and the second laser beams onto common impingement locations of a common scanning line in the processing region for scanning the first laser beam and for scanning the second laser beam.
    Type: Application
    Filed: May 29, 2013
    Publication date: December 5, 2013
    Applicant: CARL ZEISS MICROSCOPY GMBH
    Inventor: Carl Kuebler
  • Publication number: 20130288489
    Abstract: A system for fabricating vias in SiC and CVD diamond substrates through controlled laser ablation using short pulse lengths and short wavelengths.
    Type: Application
    Filed: April 2, 2013
    Publication date: October 31, 2013
    Inventor: TransLith Systems, LLC
  • Publication number: 20130269368
    Abstract: A thermal plate for a substrate support assembly in a semiconductor plasma processing apparatus, includes multiple independently controllable planar thermal zones arranged in a scalable multiplexing layout, and electronics to independently control and power the planar heater zones. Each planar thermal zone uses at least one Peltier device as a thermoelectric element. A substrate support assembly in which the thermal plate is incorporated has an electrostatic clamping electrode layer and a temperature controlled base plate. Methods for manufacturing the thermal plate include bonding together ceramic or polymer sheets having planar thermal zones, positive, negative and common lines and vias.
    Type: Application
    Filed: June 7, 2013
    Publication date: October 17, 2013
    Inventors: Keith William GAFF, Keith Comendant, Anthony Ricci
  • Patent number: 8551892
    Abstract: A method for reducing a dielectric constant of a film includes (i) forming a dielectric film on a substrate; (ii) treating a surface of the film without film formation, and (III) curing the film. Step (i) includes providing a dielectric film containing a porous matrix and a porogen on a substrate, step (ii) includes, prior to or subsequent to step (iii), treating the dielectric film with charged species of hydrogen generated by capacitively-coupled plasma without film deposition to reduce a dielectric constant of the dielectric film, and step (iii) includes UV-curing the dielectric film to remove at least partially the porogen from the film.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: October 8, 2013
    Assignee: ASM Japan K.K.
    Inventor: Akinori Nakano
  • Patent number: 8551805
    Abstract: A phase-change memory device includes a word line on a substrate and a phase-change memory cell on the word line and comprising a phase-change material pattern. The device also includes a non-uniform conductivity layer pattern comprising a conductive region on the phase-change material pattern and a non-conductive region contiguous therewith. The device further includes a bit line on the conductive region of the non-uniform conductivity layer pattern. In some embodiments, the phase-change memory cell may further include a diode on the word line, a heating electrode on the diode and wherein the phase-change material layer is disposed on the heating electrode. An ohmic contact layer and a contact plug may be disposed between the diode and the heating electrode.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyu-Hwan Oh, Doo-Hwan Park, Kyung-Min Chung
  • Patent number: 8546209
    Abstract: A method of forming a semiconductor device structure includes forming an interlevel dielectric (ILD) layer over a semiconductor substrate and a dummy transistor gate structure formed on the substrate; infusing a shallow gas cluster ion beam (GCIB) layer in a top portion of the ILD layer; and removing at least one layer from the dummy transistor gate structure, wherein the at least one layer comprises a same material as the ILD layer and wherein the GCIB layer has a slower etch rate with respect to the ILD layer.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: October 1, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Junli Wang, Keith Kwong Hon Wong, Chih-Chao Yang
  • Publication number: 20130240892
    Abstract: The present invention relates to a process for conversion of semiconductor layers, especially for conversion of amorphous to crystalline silicon layers, in which the conversion is effected by treating the semiconductor layer with a plasma which is generated by a plasma source equipped with a plasma nozzle (1). The present invention further relates to semiconductor layers produced by the process, to electronic and optoelectronic products comprising such semiconductor layers, and to a plasma source for performance of the process according to the invention.
    Type: Application
    Filed: November 10, 2011
    Publication date: September 19, 2013
    Applicant: EVONIK DEGUSSA GmbH
    Inventors: Patrik Stenner, Matthias Patz, Michael Coelle, Stephan Wieber
  • Patent number: 8536071
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Grant
    Filed: August 21, 2012
    Date of Patent: September 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Gregory R. Bettencourt, Gautam Bhattacharyya, Simon Gosselin, Sandy Chao
  • Patent number: 8524591
    Abstract: In semiconductor devices, integrity of a titanium nitride material may be increased by exposing the material to an oxygen plasma after forming a thin silicon nitride-based material. The oxygen plasma may result in an additional passivation of any minute surface portions which may not be appropriately covered by the silicon nitride-based material. Consequently, efficient cleaning recipes, such as cleaning processes based on SPM, may be performed after the additional passivation without undue material loss of the titanium nitride material. In this manner, sophisticated high-k metal gate stacks may be formed with a very thin protective liner material on the basis of efficient cleaning processes without unduly contributing to a pronounced yield loss in an early manufacturing stage.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: September 3, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sven Beyer, Rick Carter, Andreas Hellmich, Berthold Reimer
  • Patent number: 8492292
    Abstract: Methods for processing substrates are provided herein. In some embodiments, a method for processing a substrate includes providing a substrate having an oxide layer disposed thereon, the oxide layer including one or more defects; and exposing the oxide layer to a plasma formed from a process gas comprising an oxygen-containing gas to repair the one or more defects. In some embodiments, the oxide layer may be formed on the substrate. In some embodiments, forming the oxide layer further comprises depositing the oxide layer atop the substrate. In some embodiments, forming the oxide layer further comprises thermally oxidizing the surface of the substrate to form the oxide layer. In some embodiments, a processing temperature is maintained at about 700 degrees Celsius or below during the thermal oxidation of the surface.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: July 23, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yoshitaka Yokota, Christopher S. Olsen, Agus Sofian Tjandra, Yonah Cho, Matthew S. Rogers
  • Patent number: 8476629
    Abstract: A semiconductor wafer has a die area and a scribe area. A first dummy pad is formed in a first test line area of the scribe area and filled with a first material as part of a first metal layer. A first interlayer dielectric is formed over the first metal layer. A first interconnect pattern is formed in the die area and above the first interlayer dielectric, and a first trench pattern is formed in the first test line area of the scribe area and above the interlayer dielectric. The first interconnect pattern and the first trench pattern are filled with a second metal layer, and the first trench pattern is aligned above the first dummy pad. An enhanced test line structure including the first trench pattern and the first dummy pad is formed and probed in a back end of line (BEOL) process.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: July 2, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jiun-Jie Huang, Chi-Yen Lin, Ling-Sung Wang
  • Publication number: 20130137261
    Abstract: A dielectric layer having features etched thereon and a low dielectric constant, and that is carried by a semiconductor substrate. The etched dielectric layer is modified so its surface energy is reduced by at least one of: (a) applying thermal energy to the layer to cause the layer temperature to be between 100 C and 400 C; (b) irradiating the layer with electromagnetic energy; and/or (c) irradiating the layer with free ions.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 30, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Joung-Wei LIOU, Chung-Chi KO, Chia-Cheng CHOU, Keng-Chu LIN
  • Patent number: 8443756
    Abstract: Showerhead electrodes for a semiconductor material processing apparatus are disclosed. An embodiment of the showerhead electrodes includes top and bottom electrodes bonded to each other. The top electrode includes one or more plenums. The bottom electrode includes a plasma-exposed bottom surface and a plurality of gas holes in fluid communication with the plenum. Showerhead electrode assemblies including a showerhead electrode flexibly suspended from a top plate are also disclosed. The showerhead electrode assemblies can be in fluid communication with temperature-control elements spatially separated from the showerhead electrode to control the showerhead electrode temperature. Methods of processing substrates in plasma processing chambers including the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: May 21, 2013
    Assignee: Lam Research Corporation
    Inventors: Andreas Fischer, Rajinder Dhindsa
  • Patent number: 8431496
    Abstract: A threshold voltage of a thin film transistor is adjusted. The thin film transistor is manufactured through the steps of: introducing a semiconductor material gas into a treatment chamber; forming a semiconductor film in the treatment chamber over a gate insulating layer provided covering a gate electrode; evacuating the semiconductor material gas in the treatment chamber; introducing rare gas into the treatment chamber; performing plasma treatment on the semiconductor film in the treatment chamber; forming an impurity semiconductor film over the semiconductor film; processing the semiconductor film and the impurity semiconductor film into island shapes, so that a semiconductor stack is formed; forming source and drain electrodes in contact with an impurity semiconductor layer included in the semiconductor stack. Argon is preferably used as the rare gas. The rare gas element is preferably contained in the semiconductor film at 2.5×1018 cm?3 or more.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: April 30, 2013
    Assignee: Semiconductor Energy Labortory Co., Ltd.
    Inventor: Satoshi Toriumi
  • Patent number: 8415252
    Abstract: A metal interconnect structure provides high adhesive strength between copper atoms in a copper-containing structure and a self-aligned copper encapsulation layer, which is selectively deposited only on exposed copper surfaces. A lower level metal interconnect structure comprises a first dielectric material layer and a copper-containing structure embedded in a lower metallic liner. After a planarization process that forms the copper-containing structure, a material that forms Cu—S bonds with exposed surfaces of the copper-containing structure is applied to the surface of the copper-containing structure. The material is selectively deposited only on exposed Cu surfaces, thereby forming a self-aligned copper encapsulation layer, and provides a high adhesion strength to the copper surface underneath. A dielectric cap layer and an upper level metal interconnect structure can be subsequently formed on the copper encapsulation layer.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen Cheng, Abhishek Dube, Zhengwen Li, Huilong Zhu
  • Publication number: 20130072035
    Abstract: A thermal plate for a substrate support assembly in a semiconductor plasma processing apparatus, comprises multiple independently controllable planar thermal zones arranged in a scalable multiplexing layout, and electronics to independently control and power the planar heater zones. Each planar thermal zone uses at least one Peltier device as a thermoelectric element. A substrate support assembly in which the thermal plate is incorporated includes an electrostatic clamping electrode layer and a temperature controlled base plate. Methods for manufacturing the thermal plate include bonding together ceramic or polymer sheets having planar thermal zones, positive, negative and common lines and vias.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 21, 2013
    Applicant: Lam Research Corporation
    Inventors: Keith William Gaff, Keith Comendant, Anthony Ricci
  • Patent number: 8389395
    Abstract: A method for manufacturing includes the steps of forming a BCB resin region on a semiconductor optical device; processing a surface of the BCB resin region with inductively coupled plasma produced with a high-frequency power supply for supplying ICP power and a high-frequency power supply for supplying bias power, thus forming a silicon oxide film on the surface of the BCB resin region and roughening the surface of the BCB resin region with projections and recesses; and forming an electrode pad on the surface of the BCB resin region in direct contact with the silicon oxide film. The surface roughness of the BCB resin region and the thickness of the silicon oxide film on the surface of the BCB resin region are controlled by adjusting the bias power and the ICP power.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: March 5, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Yukihiro Tsuji
  • Patent number: 8389068
    Abstract: Methods of implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. A method of manufacturing a semiconductor device including implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. Also disclosed are a system for supplying a boron hydride precursor, and methods of forming a boron hydride precursor and methods for supplying a boron hydride precursor. In one implementation of the invention, the boron hydride precursors are generated for cluster boron implantation, for manufacturing semiconductor products such as integrated circuitry.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: March 5, 2013
    Assignee: Advanced Technology Materials, Inc.
    Inventors: W. Karl Olander, Jose I. Arno, Robert Kaim
  • Patent number: 8372758
    Abstract: Combinatorial plasma enhanced deposition techniques are described, including designating multiple regions of a substrate, providing a precursor to at least a first region of the multiple regions, and providing a plasma to the first region to deposit a first material on the first region formed using the first precursor, wherein the first material is different from a second material formed on a second region of the substrate.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: February 12, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony Chiang
  • Publication number: 20130034970
    Abstract: A method for forming a fluorocarbon layer using a plasma reaction process includes the step of applying a microwave power and an RF bias. The microwave power and the RF bias are applied under a pressure ranging from 20 mTorr to 60 mTorr.
    Type: Application
    Filed: August 2, 2011
    Publication date: February 7, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hiroyuki Takaba