Cleaning Of Reaction Chamber Patents (Class 438/905)
  • Patent number: 7109114
    Abstract: A method of operating a substrate processing chamber that includes, prior to a substrate processing operation, flowing a seasoning gas comprising silane and oxygen into said chamber at a flow ratio of greater than or equal to about 1.6:1 oxygen to silane to deposit a silicon oxide film over at least one aluminum nitride nozzle exposed to an interior portion of the chamber. Also, a substrate processing system that includes a housing, a gas delivery system for introducing a seasoning gas into a vacuum chamber, where the gas delivery system comprises one or more aluminum nitride nozzles exposed to the vacuum chamber, a controller and a memory having a program having instructions for controlling the gas delivery system to flow a seasoning gas that has an oxygen to silane ratio greater than or equal to about 1.6:1 to deposit a silicon oxide film on the aluminum nitride nozzles.
    Type: Grant
    Filed: May 7, 2004
    Date of Patent: September 19, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Xiaolin Chen, Jason Bloking
  • Patent number: 7097716
    Abstract: A method of cleaning a plasma etching reactor is provided. The method of cleaning a plasma etching reactor includes generating one or more plasmas from oxygen gas and a hydrogen-containing gas, and exposing interior surfaces of the reactor to the plasma(s) from the oxygen-gas and the hydrogen-containing gas. The cleaning method is used to remove deposited material, such as deposits containing fluorine, carbon, oxygen, and hydrogen from interior surfaces of the reactor. The hydrogen-containing gas may contribute to the cleaning method by providing a source of hydrogen that removes fluorine from the surfaces of the reactor.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: August 29, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Michael Barnes, Huong Thanh Nguyen
  • Patent number: 7044821
    Abstract: Plural first exhaust pipes are disposed as exhaust paths at an upper portion of a heat treatment apparatus. Exhaust gases are discharged from the inside of the heat treatment apparatus to the respective exhaust pipes. Inlets of catalyst units are connected to outlets of the first exhaust pipes, and second exhaust pipes are connected to outlets of the catalyst units. Exhaust gases are discharged from exhaust ports of the second exhaust pipes to the outside (e.g., the atmosphere) of the heat treatment apparatus.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: May 16, 2006
    Assignees: Pioneer Corporation, Pioneer Display Products Corporation
    Inventors: Junji Kogure, Masaaki Nakamura, Mineaki Yokoyama
  • Patent number: 7045020
    Abstract: Process deposits formed on a component of a process chamber are cleaned. In the cleaning method, gas holes in the component are mechanically pinned to clean the process deposits therein. A ceramic portion of the component is then exposed to an acidic solution, such as a solution of hydrofluoric acid and nitric acid. Mechanical pinning of the gas holes may be repeated after the acid cleaning step. The component is then plasma stabilized in a plasma zone by introducing a non-reactive gas into the plasma zone and forming a plasma of the non-reactive gas in the plasma zone. In one version, the component comprises an electrostatic chuck comprising a ceramic covering an electrode and having the gas holes therein.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: May 16, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ashish Bhatnagar, Charles S. Kunze
  • Patent number: 7041580
    Abstract: A laser-annealing method includes the steps of a first step of cleaning a non-monocrystal silicon film formed on a substrate, and a second step of laser-annealing the non-monocrystal silicon film in an atmosphere containing oxygen therein, wherein the first and second steps are conducted continuously without being exposed to the air. Also, a laser-annealing device includes a cleaning chamber, and a laser irradiation chamber, wherein a substrate to be processed is transported between the cleaning chamber and the laser irradiation chamber without being exposed to the air.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: May 9, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoto Kusumoto, Toru Takayama, Masato Yonezawa
  • Patent number: 7029940
    Abstract: Ammonia for use in the manufacture of a GaN-type compound semiconductor, filled in a charging container 18 such that at least a part of the ammonia is liquid and the liquid phase ammonia has a water concentration determined by a Fourier-transform infrared spectroscopy (FT-IR) of 0.5 vol ppm or less, is introduced in the gaseous state into a reaction chamber 11 housing therein a substrate 1, and a layer comprising a GaN-type compound started from this ammonia is formed on the substrate 1.
    Type: Grant
    Filed: April 12, 2004
    Date of Patent: April 18, 2006
    Assignee: Showa Denko Kabushiki Kaisha
    Inventors: Hideki Hayashida, Taizo Ito, Yasuyuki Sakaguchi
  • Patent number: 7028696
    Abstract: A method involving plasma cleaning of deposit residues in process chamber using duo-step wafer-less auto clean method is detailed. Specifically, the method involves cleaning the processing chamber by flowing a first gaseous composition with at least about 75% of fluorine-containing compound of the formula XyFz, into a processing chamber and then forming a first etchant plasma which removes silicon and silicon based byproducts from the interior surfaces of the processing chamber. The method then involves flowing a second gaseous composition into the processing chamber with a composition of at least about 50% O2 and forming a plasma from the second gaseous composition to provide a second etchant plasma which removes carbon and carbon based byproducts from the interior surfaces of the processing chamber. A system configured to execute the two step cleaning process is also provided.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: April 18, 2006
    Assignee: Lam Research Corporation
    Inventors: Brett C. Richardson, Vincent Wong
  • Patent number: 7010374
    Abstract: A method for controlling a semiconductor processing apparatus including a vacuum processing chamber, a plasma apparatus for generating plasma inside the vacuum processing chamber, and a process controller for controlling a process by holding a process recipe including plasma cleaning of inside of the vacuum processing chamber constant, comprises the steps of detecting process abnormality of the process on the basis of sensor data detected by sensors arranged in the semiconductor processing apparatus, and executing a recovery step for removing deposition deposited inside the vacuum processing chamber when abnormality is detected.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: March 7, 2006
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Junichi Tanaka, Hideyuki Yamamoto, Shoji Ikuhara, Akira Kagoshima
  • Patent number: 6990988
    Abstract: A liquid processing system of the present invention controls a temperature of a processing liquid being in a stand-by circulation line by control means for controlling the heaters to heat the processing liquid stored in the tank, while controlling the opening/closing means to pass the cooling medium into the tank with the stored processing liquid.
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: January 31, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Sadayuki Fujishima
  • Patent number: 6983756
    Abstract: A substrate treatment apparatus comprises a treatment vessel, a substrate holder for rotating the substrate in a horizontal plane in the treatment vessel, a nozzle unit arranged in an upper part of the treatment vessel such that a liquid is downwardly fed, a feed line for feeding the liquid to the nozzle unit, and a chamber enclosing therein the apparatus in its entirety. The nozzle unit is constructed in a form of a bar such that as viewed in plan, the liquid ejected from the nozzle unit reaches the substrate with an area range having a length not smaller than a diameter of the substrate and a width smaller than the diameter of the substrate.
    Type: Grant
    Filed: April 20, 2004
    Date of Patent: January 10, 2006
    Assignee: m - FSI Ltd.
    Inventors: Kousaku Matsuno, Masao Iga
  • Patent number: 6981508
    Abstract: Provided herein is a method for cleaning a process chamber for semiconductor and/or flat panel display manufacturing. This method comprises the steps of converting a non-cleaning feed gas to a cleaning gas in a remote location and then delivering the cleaning gas to the process chamber for cleaning. Such method may further comprise the step of activating the cleaning gas outside the chamber before the delivery of the gas to the chamber. Also provided is a method of eliminating non-cleaning feed gas from the cleaning gas by cryo condensation.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: January 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Quanyuan Shang, Sanjay Yadav, William R. Harshbarger, Kam S. Law
  • Patent number: 6951220
    Abstract: A method of performing decontamination of a chamber for use in an IC fabrication system includes providing wet oxygen or a mixture comprising hydrochloric gas and oxygen in the chamber and raising the temperature in the chamber from a first lower temperature to a second higher temperature to cause the wet oxygen or the mixture comprising hydrochloric gas and oxygen to react with the germanium.
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: October 4, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Farzad Arasnia, Paul R. Besser, Minh V. Ngo, Qi Xiang
  • Patent number: 6946409
    Abstract: A method of manufacturing a semiconductor device according to the present invention involves forming two layers of silicon nitride films as an insulating film by reacting a nitrogen containing gas with dichlorosilane to form one silicon nitrogen film, and reacting the nitrogen containing gas with a compound composed of silicon and chlorine to form the other silicon nitride film. One silicon nitride film excels in the leak current characteristic, while the other silicon nitride film is deposited faster than the one silicon nitride film, resulting in improved insulating properties of the silicon nitride films as well as a higher throughput in the formation of the simulating film.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: September 20, 2005
    Assignee: Elpida Memory, Inc.
    Inventor: Toshihide Takimoto
  • Patent number: 6941185
    Abstract: A vacuum processing apparatus and method wherein a plurality of processing units are for conducting processing, a transfer processing unit is connected with the plurality of processing units for carrying wafers to the processing units, a transfer device is disposed in the transfer processing unit and carries the wafers and cassettes for containing the wafers, and a control unit is provided for conducting transfer control for transferring the wafers from respective cassettes to the transfer processing unit. The wafers are processed by using the plural processing units, and at least two of the cassettes are used. Parallel processing is conducted of applying same processing to the wafers contained on each of the cassettes by applying the same recipe and the wafers, after applying the parallel processing, are returned to the original cassette.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: September 6, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6938638
    Abstract: A gas-circulating processing apparatus which comprises a processing chamber, a gas feeding piping, a gas supply piping, a first exhaust mechanism discharging a gas from the processing chamber, a second exhaust mechanism discharging a portion of a gas discharged from the first exhaust mechanism, a back pressure adjusting mechanism interposed between the first exhaust mechanism and the second exhaust mechanism to adjust a back pressure of the first exhaust mechanism, and a gas circulating piping which is configured to combine another portion of the gas that has been discharged from the first exhaust mechanism with a processing gas supplied from the gas supply piping, wherein the gas feeding piping has a larger inner diameter than that of the gas supply, or the processing gas is introduced into the first exhaust mechanism, or a first heater is provided to heat at least part of the circulating route.
    Type: Grant
    Filed: December 27, 2001
    Date of Patent: September 6, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Kubota, Rempei Nakata, Naruhiko Kaji, Itsuko Sakai, Takashi Yoda
  • Patent number: 6935351
    Abstract: A cleaning method for CVD apparatus wherein by-products such as SiO2 and Si3N4 adhered to and deposited on surfaces of the inner wall, electrodes and other parts of a reaction chamber at the stage of film formation can be removed efficiently. Furthermore, the amount of cleaning gas discharged is so small that the influence on environment such as global warming is little and cost reduction can be also attained. After the film formation on a base material surface by the use of CVD apparatus, a fluorinated cleaning gas containing a fluorcompound is converted to plasma by means of a remote plasma generator, and the cleaning gas having been converted to plasma is introduced into a reaction chamber so that any by-products adhered to inner parts of the reaction chamber is removed.
    Type: Grant
    Filed: March 18, 2002
    Date of Patent: August 30, 2005
    Assignees: Anelva Corporation, Ulvac, Inc., Kanto Denka Kogyo Co., Ltd., Sanyo Electric Co., Ltd., Sony Corporation, Daikin Industries, Ltd., Tokyo Electron Limited, NEC Electronics Corporation, Hitachi Kokusai Electric Inc., Matsushita Electric Industrial Co., Ltd., Mitsubishi Denki Kabushiki Kaisha, Renesas Technology Corp.
    Inventors: Koji Shibata, Naoto Tsuji, Hitoshi Murata, Etsuo Wani, Yoshihide Kosano
  • Patent number: 6932092
    Abstract: A method for cleaning a plasma enhanced chemical vapor deposition chamber. The method includes introducing a cleaning gas into the plasma enhanced chemical vapor deposition chamber, forming a plasma using a very high frequency (VHF) power having a frequency in a range from about 20 MHz to about 100 MHz, and reacting the cleaning gas with deposits within the chamber in the presence of the plasma.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: August 23, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Maosheng Zhao, Shankar Venkataraman
  • Patent number: 6926014
    Abstract: A method for cleaning a plasma chamber after metal etching. First, a substrate having a metal layer thereon is placed in a plasma chamber. Next, the metal layer is etched. Finally, the substrate is removed from the plasma chamber to perform a dry cleaning which includes the following steps. First, the inner wall of the plasma chamber is cleaned by plasma etching using oxygen as a process gas. Next, the top and bottom electrode plates in the plasma chamber are cleaned by plasma etching using chlorine and boron chloride as process gases. Next, the inner wall of the plasma chamber is cleaned again by plasma etching using sulfur hexafluoride and oxygen as process gases. Finally, oxygen and helium used as purging gases are injected into the plasma chamber and exhausted from therein.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: August 9, 2005
    Assignee: Au Optronics Corp.
    Inventors: Chao-Yun Cheng, Shin-Jien Kuo, Chih-Chung Chuang, Shu-Feng Wu
  • Patent number: 6923189
    Abstract: A method and apparatus for cleaning a processing chamber are provided. The cleaning method includes the use of a remote plasma source to generate reactive species and an in situ RF power to generate or regenerate reactive species. The reactive species are generated from a carbon and fluorine-containing gas and an oxygen source.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: August 2, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Annamalai Lakshmanan, Ju-Hyung Lee, Troy Kim, Maosheng Zhao, Shankar Venkataraman
  • Patent number: 6920891
    Abstract: An exhaust adaptor and method which includes attachment of an exhaust bellow or conduit to a process chamber to facilitate vacuum-induced evacuation of residual toxic gases from the chamber during cleaning and/or maintenance of the chamber. A throttle valve of the chamber is first removed from a throttle valve housing, and one end of the exhaust adaptor is next attached to the throttle valve housing. An exhaust bellow or conduit is attached to the opposite end of the adaptor. As a down flow of air is directed into the open chamber, vacuum pressure is induced in the chamber interior through the exhaust bellow or conduit, the adaptor and the valve housing, respectively. Air disturbances in the chamber interior are thus eliminated, and toxic residual gases generated in the chamber interior are therefore incapable of diffusing to the exterior of the chamber.
    Type: Grant
    Filed: October 5, 2002
    Date of Patent: July 26, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mu-Tsang Lin, Wie-Liang Tsai, Cherng-Chang Lee, Yen-Chan Lee, Chia-Hsin Liu
  • Patent number: 6903025
    Abstract: A method of purging a semiconductor manufacturing apparatus comprises a step of etching a CVD-deposited film deposited in a chamber constituting a semiconductor manufacturing apparatus which has performed a process of forming a CVD film using a CVD process over a semiconductor wafer by using an etching gas containing at least a halogen gas, and a step of purging a cleaning gas remaining in the chamber by causing a gas containing hydrogen to flow into the chamber after the step of etching the CVD-deposited film by using the cleaning gas.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: June 7, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ichiro Mizushima
  • Patent number: 6902629
    Abstract: Methods and apparatus for cleaning deposition chambers are presented. The cleaning methods include the use of a remote plasma source to generate reactive species from a cleaning gas to clean deposition chambers. A flow of helium or argon may be used during chamber cleaning. Radio frequency power may also be used in combination with a remote plasma source to clean deposition chambers.
    Type: Grant
    Filed: April 12, 2002
    Date of Patent: June 7, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Yi Zheng, Vinita Singh, Srinivas D. Nemani, Chen-An Chen, Ju-Hyung Lee, Shankar Venkataraman
  • Patent number: 6899111
    Abstract: The present invention provides a method and an apparatus for cleaning substrates. The cleaning chamber defines a processing cavity adapted to accommodate a substrate therein. In one embodiment, the cleaning chamber includes a chamber body having a processing cavity defined therein. A substrate is disposed in the processing cavity without contacting other chamber components by a Bernoulli effect and/or by a fluid cushion above and/or below the substrate. Fluid is flowed into the processing cavity at an angle relative to a radial line of the substrate to induce and/or control rotation of the substrate during a cleaning and drying process.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: May 31, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Paul E. Luscher, James D. Carducci, Siamak Salimian, Michael D. Welch
  • Patent number: 6899785
    Abstract: Undesirable reactions (such as formation of volatile compounds or complexes) are recognized to occur during production processes (such as etching with fluorine) at interior surfaces of a reactor chamber (such as a silicon-based reactor chamber). These undesirable reactions may be minimized and controlled by priming the chamber surface by incorporating seasoning atoms and/or molecules.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: May 31, 2005
    Assignee: International Business Machines Corporation
    Inventors: Kellie L. Dutra, Margaret L. Gibson, Ronald G. Meunier, Jason W. Silbergleit
  • Patent number: 6886573
    Abstract: A process for cleaning a deposit from an interior surface of a processing chamber includes generating a plasma from a cleaning gas including SO2F2 and contacting the interior surface with the plasma for a time sufficient to convert the deposit into a volatile product, thereby cleaning the deposit from the interior surface, in which the process is conducted in the absence of SF6. The deposits, which may be removed by the process of the invention, include silicone, silicone oxide, silicone nitride, tungsten, copper and aluminum.
    Type: Grant
    Filed: September 6, 2002
    Date of Patent: May 3, 2005
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Peter Hobbs, James Joseph Hart
  • Patent number: 6885906
    Abstract: A vacuum processing method and apparatus having one cassette containing wafers which are to be transferred in a preset transferring order to a processing unit via a transfer unit, and another cassette containing wafers to be processed on an emergency basis. Automatic control of processing a wafer from the one cassette is effected, and in response to a request for emergency processing of a water of the another cassette, the automatic processing control of the one wafer from the one cassette is temporarily stopped while completing processing of the wafer of the one cassette returning the same to the one cassette. Emergency processing is initiated by transferring a wafer from the another cassette to the vacuum processing unit via the transfer unit. The processing of the emergency wafer is completed and the processed emergency wafer is returned to the another cassette.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: April 26, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6881276
    Abstract: A method and apparatus for cleaning a CVD chamber including optoelectronic detection of the completion or endpoint of the cleaning procedure once a ratio of emission lines reaches a threshold value. The method comprises the steps of: providing a plasma of a cleaning gas into the chamber and creating a plasma from the cleaning gas. The intensity of emission lines of the cleaning gas and of at least one background gas in the chamber are monitored. A ratio of the intensity of the cleaning gas emission line to the intensity of the background gas emission line is determined and monitored as a function of time. The determined ratio is compared to a preset threshold calibration value. The flow of gas is controlled based on the comparing step. The apparatus includes a cleaning gas supply with a valved inlet providing an entrance to the interior of the chamber for passing cleaning gas to the interior of the chamber. A detector having an optical input is disposed for sensing the electromagnetic radiation.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: April 19, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Wendell T. Blonigan, James T. Gardner
  • Patent number: 6881277
    Abstract: The present invention relates to a method of processing selected surfaces in a semiconductor process chamber by creating a temperature differential between the selected surfaces and contacting the surfaces with a reactant that preferentially react with a surface at one end of the temperature differential relative to the other selected surface(s). More particularly, the invention relates to the use of nitrogen trifluoride (NF3) gas for in situ cleaning of cold wall process chambers such as Rapid thermal Chemical Vaporization (“RTCVD”) systems.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: April 19, 2005
    Assignee: Micron Technology, Inc.
    Inventor: James Pan
  • Patent number: 6880561
    Abstract: A process for removing residue from the interior of a semiconductor process chamber using molecular fluorine gas (F2) as the principal precursor reagent. In one embodiment a portion of the molecular fluorine is decomposed in a plasma to produce atomic fluorine, and the resulting mixture of atomic fluorine and molecular fluorine is supplied to the chamber whose interior is to be cleaned. In another embodiment the molecular fluorine gas cleans the semiconductor process chamber without any plasma excitation. Molecular fluorine gas has the advantage of not being a global warming gas, unlike fluorine-containing gas compounds conventionally used for chamber cleaning such as NF3, C2F6 and SF6.
    Type: Grant
    Filed: May 5, 2003
    Date of Patent: April 19, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Haruhiro Harry Goto, William R. Harshbarger, Quanyuan Shang, Kam S. Law
  • Patent number: 6878214
    Abstract: Method and apparatus for determining an endpoint of a cleaning process running in a chamber. In particular, one embodiment of the present invention is a method that includes steps of: (a) directing radiation absorbed by a byproduct of the cleaning process into an exhaust line of the chamber; (b) detecting a measure of absorbance of the radiation by the byproduct; and (c) determining the endpoint when the measure of absorbance falls within a predetermined window.
    Type: Grant
    Filed: January 24, 2002
    Date of Patent: April 12, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Laxman Murugesh, Gary R. Ahr
  • Patent number: 6877517
    Abstract: A method for forming an etched silicon layer. There is first provided a first substrate having formed thereover a first silicon layer. There is then etched the first silicon layer to form an etched first silicon layer while employing a plasma etch method employing a plasma reactor chamber in conjunction with a plasma etchant gas composition which upon plasma activation provides at least one of an active bromine containing etchant species and an active chlorine containing etchant species.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: April 12, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Kwok Keung Paul Ho, Xue Chun Dai
  • Patent number: 6872323
    Abstract: In accordance with an embodiment of the present intention, a fluorine residue removing method includes: supplying an oxygen-containing gas and a hydrogen-containing gas into a CVD chamber; producing a plasma of a mixture of the oxygen-containing gas and the-hydrogen containing gas, so that the plasma reacts with the fluorine residue, exothermically generating water; and evacuating from the CVD chamber a product of the reaction between the plasma and the fluorine residue. For the hydrogen-containing gas, NH3 is often used, and for the oxygen-containing gas, N2O, O2, or air is used. Exemplary mixtures of the oxygen-containing and the hydrogen-containing gases include 70 mol % N2O/NH3, 50 mol % N2O/NH3, and 52 mol % O2/NH3. An inert gas, such as He, Ne, Ar, or Kr, can be optionally supplied into the chamber to stabilize the plasma.
    Type: Grant
    Filed: November 1, 2001
    Date of Patent: March 29, 2005
    Assignee: Novellus Systems, Inc.
    Inventors: William R. Entley, John G. Langan, Randy Hall
  • Patent number: 6864193
    Abstract: A composition and method for fabricating a semiconductor wafer containing copper is disclosed, which method includes plasma etching a dielectric layer from the surface of the wafer, plasma ashing a resist from the surface of the wafer, and cleaning the wafer surface by contacting same with a cleaning formulation, which includes the following components and their percentage by weight ranges shown: (a) from about 0.01 to 80% by weight organic solvent, (b) from about 0.01 to 30% by weight copper chelating agent, (c) from about 0.01 to 10% by weight copper inhibitor, and (d) from about 0.01 to 70% by weight water.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: March 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Li Chou, Hun-Jan Tao, Peng-Fu Hsu
  • Patent number: 6861371
    Abstract: The present invention provides a substrate processing system and method which can prevent the filter from being stuffed with foreign objects and make the filter accordingly more durable. The substrate processing system 12 comprising a substrate processing unit 46 for processing substrates W with a processing liquid, and a processing liquid recovery passage 75 for passing the processing liquid discharged from the substrate processing unit 46, in which the processing liquid recovery passage 75 includes a filter 80 for removing foreign objects mixed in the processing liquid, a cleaning fluid supply passage 120 for feeding a cleaning fluid for cleaning the filter 80, and a discharge passage 115 for discharging the processing liquid and the cleaning fluid from the filter 80.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: March 1, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Yuji Kamikawa, Eiichi Mukai
  • Patent number: 6857433
    Abstract: A process for cleaning a glass-coating reactor includes: (a) providing the reactor to be cleaned, wherein the reactor contains a glass substrate within a chamber and the chamber has an internal surface coated with at least one substance selected from the group consisting of Si3N4 or SiO2; (b) terminating a flow of a deposition gas to the reactor; (c) adding to the reactor at least one cleaning gas to react with the at least one substance to form at least one volatile product; and (d) removing from the reactor the at least one volatile product.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: February 22, 2005
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Philip Bruce Henderson, Mario Joseph Moniz, Andrew David Johnson, Eugene Joseph Karwacki, Jr., Richard R. Bodette, Christopher Robert Cording, Herbert David Johnson
  • Patent number: 6855209
    Abstract: A method for determining optimum plasma chamber cleaning cycles based on an electrical precursor signal. Polymer build up on the interior wall of plasma chamber 1 during normal production runs is monitored by observing the phase of the fundamental RF signal on a pre-selected baseline process. At a predetermined level of this signal, the chamber processing is stopped and the chamber walls are cleaned.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: February 15, 2005
    Assignee: Scientific Systems Research Limited
    Inventors: John Scanlan, Kevin O'Leary
  • Patent number: 6853872
    Abstract: A vacuum processing method and apparatus processing units for conducting processing, a transfer processing unit connected with the plurality of processing units for carrying wafers, and a control unit for controlling the processing units. A processing order information storing device stores a processing order of the wafers for the processing units, an operational information signal generating device generates an operational information signal indicating an operable or inoperable state of each of the processing units, an operational information signal storing device stores the operational information signal indicating the state of each of the processing units, and a control device matches and processes the processing order information and the operational information signal, and continues operation without using an inoperable processing unit while using other operable processing units.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: February 8, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6846742
    Abstract: Embodiments of the present invention include a method of depositing an improved seasoning film. In one embodiment the method includes, prior to performing a substrate processing operation, forming a layer of silicon over an interior surface of the substrate processing chamber as opposed to a layer of silicon oxide. In certain embodiments, the layer of silicon comprises at least 70% atomic silicon, is deposited from a high density silane (SinH2n+2) process gas and/or is deposited from a plasma having a density of at least 1×1011 ions/cm3.
    Type: Grant
    Filed: June 16, 2003
    Date of Patent: January 25, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Patent number: 6843858
    Abstract: A method of operating a substrate processing chamber. In one embodiment the method includes processing one or more substrates in the substrate processing chamber and subsequently cleaning the chamber using a dry cleaning process. This substrate processing and dry cleaning sequence is then repeated multiple times before chamber is further cleaned by flowing a cleaning gas into the chamber and forming a plasma within the chamber from the cleaning gas in an extended cleaning process. During the extended cleaning process the plasma is maintained within the chamber for a total of at least 5 minutes before the chamber is reused to process a substrate.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: January 18, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Patent number: 6844260
    Abstract: Systems and methods for insitu post atomic layer deposition (ALD) destruction of active species are provided. ALD processes deposit multiple atomic layers on a substrate. Pre-cursor gases typically enter a reactor and react with the substrate resulting in a monolayer of atoms. After the remaining gas is purged from the reactor, a second pre-cursor gas enters the reactor and the process is repeated. The active species of some pre-cursor gases do not readily purge from the reactor, thus increasing purge time and decreasing throughput. A high-temperature surface placed in the reactor downstream from the substrate substantially destroys the active species insitu. Substantially destroying the active species allows the reactor to be readily purged, increasing throughput.
    Type: Grant
    Filed: January 30, 2003
    Date of Patent: January 18, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Demetrius Sarigiannis, Shuang Meng, Garo J. Derderian
  • Patent number: 6844273
    Abstract: A thermal processing system (1) includes a reaction vessel (2) capable of forming a silicon nitride film on semiconductor wafers (10) through interaction between hexachlorodisilane and ammonia, and an exhaust pipe (16) connected to the reaction vessel (2). The reaction vessel 2 is heated at a temperature in the range of 500 to 900° C. and the exhaust pipe (16) is heated at 100° C. before disassembling and cleaning the exhaust pipe 16. Ammonia is supplied through a process gas supply pipe (13) into the reaction vessel (2), and the ammonia is discharged from the reaction vessel (2) into the exhaust pipe (16).
    Type: Grant
    Filed: February 6, 2002
    Date of Patent: January 18, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Kohei Fukushima, Atsushi Endo, Tatsuo Nishita, Takeshi Kumagai
  • Patent number: 6843258
    Abstract: Provided herein is a method for cleaning a process chamber for semiconductor and/or flat panel display manufacturing. This method comprises the steps of converting a non-cleaning feed gas to a cleaning gas in a remote location and then delivering the cleaning gas to the process chamber for cleaning. Such method may further comprise the step of activating the cleaning gas outside the chamber before the delivery of the gas to the chamber. Also provided is a method of eliminating non-cleaning feed gas from the cleaning gas by cryo condensation.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: January 18, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Quanyuan Shang, Sanjay Yadav, William R. Harshbarger, Kam S. Law
  • Patent number: 6841056
    Abstract: A process tool for electrochemically treating a substrate is configured to reduce the oxygen concentration and/or the sulfur dioxide concentration in the vicinity of the substrate so that corrosion of copper may be reduced. In one embodiment, a substantially inert atmosphere is established within the process tool including a plating reactor by providing a continuous inert gas flow and/or by providing a cover that reduces a gas exchange with the ambient atmosphere. The substantially inert gas atmosphere may also be maintained during further process steps involved in electrochemically treating the substrate including required transportation steps between the individual process steps.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: January 11, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Axel Preusse
  • Patent number: 6841008
    Abstract: A method for cleaning a plasma reactor clamber part (100) may include dipping the chamber part in a solvent (102) that may dissolve a material that has been redistributed on the chamber part by a reactive plasma. A chamber part may then be rinsed (104), ultrasonically cleaned (106) in a ultrasonic cleaning liquid, and then rinsed again with a liquid that may evaporate at a lower temperature than an ultrasonic cleaning liquid (108). A chamber part may then be blown dry (110) and baked (112). In addition, or alternatively, a method may also include plasma cleaning a chamber part (202).
    Type: Grant
    Filed: July 17, 2000
    Date of Patent: January 11, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventors: Walter G. Branco, Jianmiu Qiao
  • Patent number: 6837250
    Abstract: A method of using PFCs recovered from the effluent of a CVD chamber cleaning process as an influent for the cleaning process is provided which includes the steps of selecting a first PFC gas mixture having a first ratio of C2F6 to CF4, providing the first PFC gas mixture as the influent gas to the CVD chamber to create a CVD chamber effluent gas of a second PFC gas mixture having a second ratio of C2F6 to CF4, adding virgin C2F6 or CF4 to the CVD chamber effluent gas in sufficient quantity to create a third PFC gas mixture having the first ratio of C2F6 to CF4, and using the third PFC gas mixture as the influent gas to the CVD chamber.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: January 4, 2005
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Giles Langan, Andrew David Johnson
  • Patent number: 6835221
    Abstract: The present invention has an object to improve the efficiency of collection of solidification constituents and solids in exhaust gas and to prevent early blockage of the filter without damaging the vacuum pump. In an exhaust path 48a, a vacuum pump and exhaust gas filtration device are provided. This exhaust gas filtration device is constituted by a trap device, pre-filter and filter. The pre-filter reduces the exhaust gas flow rate flowing through the interior of the exhaust path by controlling the exhaust gas flow path in the vessel. The aforesaid exhaust path is constituted by connecting this vacuum pump, trap device, pre-filter and filter which are arranged in this order from the side of airtight vessel and connected through piping if required.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: December 28, 2004
    Assignee: Anelva Corporation
    Inventors: Toshihiro Rikyuu, Keisuke Nagakura
  • Patent number: 6835278
    Abstract: A remote plasma cleaning system includes a high conductance delivery line that delivers activated species from a remote plasma generator to a processing chamber. The delivery line preferably has a conductance of greater than 40 liters per second, enabling the power levels of the remote plasma generator to be maintained at less than about 3 kW. In one embodiment, activated species may be introduced into the processing chamber via one or more inlet ports disposed in a side portion of the processing chamber. In another embodiment, a coaxial inject/exhaust assembly enables activated species to be introduced into the processing chamber via an inner tube and gases to be exhausted from the processing chamber via an outer tube. Other embodiments incorporate an compound valve in the delivery system for selectively isolating the RPC chamber from the processing chamber and an optical baffle for protecting sensitive components of the isolation valve from exposure to ion bombardment and plasma radiation.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: December 28, 2004
    Assignee: Mattson Technology Inc.
    Inventors: Steven C. Selbrede, Neil M. Mackie, Martin L. Zucker
  • Patent number: 6830631
    Abstract: A method of removing first molecules adsorbed on the surfaces of a chamber and/or at least one object found in the chamber is provided. Second, polar molecules that have a desorptive effect on the first molecules are introduced into the chamber. The second molecules comprise nitrogen and hydrogen, and especially NH3 molecules.
    Type: Grant
    Filed: September 25, 2002
    Date of Patent: December 14, 2004
    Assignee: Steag RTP Systems GmbH
    Inventors: Zsolt Nenyei, Wilfried Lerch, Jürgen Niess, Thomas Graf
  • Patent number: 6825124
    Abstract: Disclosed is a method of forming the metal line in the semiconductor device. The method comprising the steps of forming an interlay insulating film on a semiconductor substrate in which a lower line is formed, patterning the interlay insulating film to form an aperture unit for forming an upper line connected to the lower line, cooling the semiconductor substrate in which the aperture unit is formed at a given temperature, implementing a cleaning process using a hydrogen reduction reaction in order to remove polymer formed on the sidewall of the aperture unit and a metal oxide film formed on the lower line, implementing an annealing process in-situ within a chamber in which the cleaning process is implemented, and burying the aperture unit with a conductive material to form an upper line.
    Type: Grant
    Filed: August 7, 2003
    Date of Patent: November 30, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dong Joon Kim
  • Patent number: 6821891
    Abstract: Methods of forming copper films by sequentially introducing and then reacting nitrogen containing analogs of Copper II &bgr;-diketonates which analogs are more stable source reagents for copper deposition. The nitrogen containing analogs replace —O— with —N(R″)— wherein R″ is an alkyl group having from one to four carbon atoms. Replacement of each —O— is preferred although replacement of one —O— per cyclic ring is sufficient to improve stability of the copper source reagents. The source reagent can be purified by sublimation to remove solvents and excess ligands prior to semiconductor processing. The processing chamber is preferably a cyclical deposition chamber maintained at a pressure of less than about 10 Torr and the substrate is maintained at a temperature of about 50 to about 200° C.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: November 23, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Mei Chang