Simulation Patents (Class 714/741)
  • Patent number: 8166362
    Abstract: This invention relates to fault detection in electrical circuits, in particular it relates to fault detection for a plurality of adjacent input circuits. The invention provides a method and apparatus for detecting a control or communication fault on an analogue circuit by simulating said analogue circuit using a simulated circuit comprising digital circuit components; the simulated circuit receiving a control input to provide a first output; and the analogue circuit receiving said control input to provide a second output; and setting an error condition when the first output and the second output differ.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: April 24, 2012
    Assignee: Rockwell Automation Limited
    Inventor: Thomas Bruce Meagher
  • Patent number: 8165864
    Abstract: Method, system and computer program product for verifying the address generation, address generation interlocks, and address generation bypassing controls in a CPU. An exemplary embodiment includes a verification method in a processor, the method including propagating a first set general purpose register values from a first instruction to a second instruction, wherein the simulation monitor is coupled to a first stage of the instruction pipeline, and wherein the first set of general purpose register values are stored in a simulation instruction object, selecting a second set of general purpose register values, updating the first set of general purpose register values with the second set of general purpose register values and placing the second set of general purpose register values on a bus.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Mullen, Marvin J. Rich, James L. Schafer
  • Patent number: 8161336
    Abstract: A system receives serial messages from a device under test. The system includes a deserializer configured to i) receive the serial messages and, ii) based on the serial messages, form data frames. A frame sync module is configured to form Joint Task Action Group (JTAG) data bits based on the data frames. A plurality of virtual JTAG test access ports are configured to i) receive the JTAG data bits and ii) shift the JTAG data bits between the plurality of virtual JTAG test access ports.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: April 17, 2012
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 8156395
    Abstract: A single-pass method for test pattern generation for sequential circuits employs a local-fault at each time-frame. The result is that a fault arriving at circuit primary output lines unambiguously signals the discovery of a valid test pattern sequence for the fault. The valid test pattern sequence is reconstructed from stored history and is used to test a sequential circuit.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: April 10, 2012
    Assignee: Yardstick Research, LLC
    Inventor: Delmas R. Buckley, Jr.
  • Patent number: 8145967
    Abstract: A system and method for verifying the receive path of an input/output device such as a network interface circuit. The device's operation with various different input sources (e.g., networks) and output sources (e.g., hosts, host buses) is modeled in a verification layer that employs multiple queues to simulate receipt of packets, calculation of destination addresses and storage of the packet data by the device. Call backs are employed to signal completion of events related to storage of packet data by the device and modeling of data processing within the verification layer. Processing of tokens within the verification layer to mimic the device's processing of corresponding packets is performed according to a dynamic DMA policy modeled on the device's policy. The policy is dynamic and can be updated or replaced during verification without interrupting the verification process.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: March 27, 2012
    Assignee: Oracle America, Inc.
    Inventors: Arvind Srinivasan, Rahoul Puri
  • Patent number: 8127187
    Abstract: An apparatus and a method for enhancing the use of automated test equipment (ATE), are presented. The apparatus comprises a test load board that mounts a plurality of devices to be tested (DUTs), and a daughter card communicating with the test board and the ATE, testing each of the plurality of devices, and providing test results to the ATE. The method comprises mounting a plurality of devices to be tested on the test load board, using the daughter card to communicate with the test board and the ATE, and using the daughter card for testing each of the plurality of DUTs, providing test results to the ATE. Also provided is a system to perform automated tests of integrated chips, comprising an ATE scan test unit, an off-load tester resource coupled to the ATE scan test unit, a processor executing commands to control the ATE unit and the off-load tester resource.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: February 28, 2012
    Assignee: Integrated Device Technology, Inc.
    Inventors: Yu Xia, Dale Ventura, Ashok Ramachandran
  • Patent number: 8127188
    Abstract: A scan chain circuit causes a plurality of flip-flops to function as shift registers during execution of a scan test and can execute a scan shift that serially transfers test pattern data for the scan test. A clock gating circuit controls output of a pulse of a clock signal supplied to the scan chain circuit in accordance with a clock gating signal, whereas disables the clock gating signal based on a logic of a scan enable signal authorizing the scan shift. A first clock gating circuit included in the clock gating circuit disables the clock gating signal during the scan shift based on the logic of the scan enable signal and also inverts the clock signal and outputs a result of inverting.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: February 28, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tetsu Hasegawa
  • Patent number: 8117513
    Abstract: In a combinational portion, when there is one or more unspecified bits in pseudo external input lines and there is no unspecified bit in pseudo external output lines, an assigning operation is carried out. In the combinational portion, when there is one or more unspecified bits in the pseudo external output lines and there is no unspecified bit in the pseudo external input lines, first and second justifying operations are carried out, and a necessary logic value is determined for an unspecified bit of the test cube. In the combinational portion, when there are one more unspecified bits not only in the pseudo external input lines but also the pseudo external output lines, an assigning operation, a justifying operation or first and second assigning/justifying operations are performed upon a focused bit pair.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: February 14, 2012
    Assignee: LPTEX Corporation
    Inventors: Xiaoqing Wen, Seiji Kajihara
  • Patent number: 8103927
    Abstract: A field mounting-type test apparatus and method for enhancing competitiveness of a product by simulating various test conditions including a mounting environment for improving quality reliability of a memory device and by minimizing overall loss due to change in a mounting environment thus reducing testing time and cost. The field mounting-type test apparatus includes a mass storage device configured to store logic data simulating a mounting environment of a device under test (DUT) and a tester main frame configured to test the DUT using the logic data.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: January 24, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-ho Choi, Woon-sup Choi, Sung-yeol Kim, Young-ki Kwak, Jae-il Lee, Chul-woong Jang, Ho-sun Yoo, In-su Yang, Seung-ho Jang
  • Patent number: 8103926
    Abstract: Methods and apparatuses for synthesizing and/or implementing an augmented multimode compactor are described.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: January 24, 2012
    Assignee: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Patent number: 8086926
    Abstract: There is provided a failure diagnostic apparatus that diagnoses a semiconductor integrated circuit device for failure based on a compressed signal obtained by compressing a plurality of signals outputted from a plurality of scan chains in which a plurality of scan flip-flops, to which signals from the semiconductor integrated circuit device are inputted, are connected in series. For each stage of the scan chains, the failure diagnostic apparatus sets a virtual space compression circuit that compresses output signals of the scan flip-flops in the stage and a virtual pin connected to the output terminal of the virtual space compression circuit, and the output signal of the virtual pin is compared with the compression signal to diagnose the semiconductor integrated circuit device for failure.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: December 27, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Takayuki Kato
  • Patent number: 8078928
    Abstract: A system and method for verifying the transmit path of an input/output device such as a network interface circuit. The device's operation with various different input sources (e.g., hosts, input buses) and output sources (e.g., output buses, networks) is modeled in a verification layer that employs multiple queues to simulate receipt of input data, submission to an output port and transmission from the device. Call backs are employed to signal completion of events related to receipt of data at the device and modeling of data processing within the verification layer. As call backs are resolved, corresponding tasks are executed to advance the processing of the data through the verification layer. A device-specific algorithm is executed in the verification layer to predict the ordering of output from the device, and that output is compared to the predicted output by a transmission checker.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: December 13, 2011
    Assignee: Oracle America, Inc.
    Inventors: Arvind Srinivasan, Rahoul Puri
  • Patent number: 8074135
    Abstract: An integrated circuit includes an embedded processor. An embedded in-circuit emulator is located within the embedded processor. The embedded in-circuit emulator performs a test on the integrated circuit. The embedded in-circuit emulator generates a testing result based on the test on the integrated circuit. Trace logic to generate trace data based on the testing result, the trace data being in a parallel format. A serializer is located on the integrated circuit. The serializer converts the parallel format of the trace data into a serial format. The serializer serially outputs the trace data in the serial format from the integrated circuit.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: December 6, 2011
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho
  • Publication number: 20110289373
    Abstract: One or more technologies described herein can be used for viewing results of a simulation of a software executable in a multi-processor electronic circuit design. A debug environment can display simulation results related to the multiple processors, for example, as a correlated software debug view of the processors. In at least some embodiments, the disclosed technologies can be used to examine a correlation between an error in the simulation results and one or more inter-processor synchronization events.
    Type: Application
    Filed: November 19, 2010
    Publication date: November 24, 2011
    Inventors: Russell A. Klein, Marco A. Minato
  • Patent number: 8065571
    Abstract: A tester that generates various data patterns to assure that link receivers and transmitters are functioning properly (i.e., are functioning according to a relevant network specification) across the entire storage area network. In various embodiments, this tester may be used in Fibre Channel type SANs or in fiber connectivity (FICON) type SANs.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: November 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Louie A. Dickens, Olive P. Faries, Michael E. Starling, David L. Binning
  • Patent number: 8063908
    Abstract: A system, method, and computer program product are provided for validating a graphics processor design. In operation, a test image is identified. Additionally, a reference image is automatically selected from a set of reference images. Furthermore, a graphics processor design is validated using the test image and the selected reference image.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: November 22, 2011
    Assignee: NVIDIA Corporation
    Inventors: Jonathan J. Dunaisky, John Malcolm Neil, Subodh Kumar
  • Patent number: 8042086
    Abstract: A constrained random test bench methodology employing an instruction abstraction layer. The instruction abstraction layer includes an instruction streamer for generating random test instruction sequences that preserve instruction order dependencies and randomly selecting data values from a valid range of data values. Multiple instruction streamers may be employed to simulate interrupt handlers and other functional design units sharing a control command bus. A priority scheduler sequences the instruction sequences generated by multiple instruction streamers based on a specified priority scheme.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: October 18, 2011
    Assignee: Oracle America, Inc.
    Inventor: Hsien-Chang Richard Tseng
  • Patent number: 8037379
    Abstract: A method for predicting an impact on post-repair yield resulting from manufacturing process modification is described. The method includes receiving bit data representing locations of defective memory cells for a plurality of memory devices. The bit data is modified by removing a selected failure pattern type according to a modification scheme to generate modified bit data. Repairs are simulated on hypothetical memory devices corresponding to the modified bit data, generating a result indicating whether the hypothetical memory device is good or bad. A post-repair yield is then identified and a report is generated indicating the post-repair yield, the post-repair yield representing a number of the plurality of memory devices that would be functional after repair had the plurality of memory devices been manufactured without the selected failure pattern. A method to identify a process providing the best economic benefit is also described.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: October 11, 2011
    Assignee: PDF Solutions, Inc.
    Inventors: Hua Fang, John Chen
  • Patent number: 8037387
    Abstract: Provided are a conversion device and the like for converting a initial test pattern given in advance into a test pattern of a bit constitution of different logic values, without losing the fault coverage of transition delay fault which can be detected by the constitution element of the initial test pattern. The conversion device converts an initial test pattern 100a given in advance for a logic circuit into an intermediate test pattern 100b of a bit constitution of different logic values, where the constitution elements of the initial test pattern 100a are at least two test vectors applied in succession. The conversion device includes a decision means for deciding a combination of logic values in the initial test pattern 100a which meet a detection condition of faults of the logic circuit which can be detected by applying the constitution elements.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: October 11, 2011
    Assignees: Japan Science & Technology Agency, Kyushu Institute of Technology, System JD Co., Ltd.
    Inventors: Seiji Kajihara, Kohei Miyase, Xiaqing Wen, Yoshihiro Minamoto, Hiroshi Date
  • Patent number: 8015458
    Abstract: A loopback connector for a system can include a connector arrangement connectable to connector of a system component and/or a cable. The loopback connector can include loopback logic for simulating cable and/or system component functionality. In an example implementation the loopback connector can also operate to protect a system component and/or cable connector during shipping.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: September 6, 2011
    Assignee: Oracle America, Inc.
    Inventors: Bjorn Dag Johnsen, Ola Torudbakken, Inge Lars Birkeli, Andreas Bechtolsheim
  • Patent number: 8010933
    Abstract: A method for injecting timing irregularities into test patterns self-generated by a device under test (DUT) includes obtaining timing irregularities, receiving the test patterns generated by the device under test driven from output drivers of the DUT, injecting the timing irregularities into the test patterns to generate test patterns with timing irregularities injected therein, and applying the test patterns with timing irregularities injected therein to input receivers of the DUT. A tester is configured to test loopback functionality of a device under test (DUT) utilizing a timing irregularities injection apparatus which receives timing irregularity data readable by the tester and test data generated by the DUT, and injects the timing irregularity data into the test data for application to the DUT.
    Type: Grant
    Filed: July 6, 2006
    Date of Patent: August 30, 2011
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventor: Andrew S. Hildebrant
  • Patent number: 8006156
    Abstract: Various exemplary embodiments provide methods and apparatuses for generating test conditions that efficiently detect delay faults while preventing overkill. According to an exemplary embodiment, i) test timing correcting block sets test timing faster than the actual operation timing of a logical circuit to be tested, ii) logical simulation block performs simulation by using delay times of signal paths corrected by adding minimum slack margin, and iii) when the simulation indicates that an end-side flip-flop cannot acquire data after an expected transition of logical value, masking block generates mask data that masks data held in the end-side flip-flop.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: August 23, 2011
    Assignee: Kawasaki Microelectronics, Inc.
    Inventor: Hiromi Kojima
  • Patent number: 8001438
    Abstract: A computer-implemented method of measuring bridge fault coverage for a test pattern for a circuit design to be implemented within a programmable logic device can include identifying simulation results and stuck at coverage of the circuit design for the test pattern (610, 620). Pairs of nets in the circuit design that are adjacent can be identified (625). Each type of bridge fault for which each pair is tested can be determined according to the simulation results (640, 645, 655, 660). A measure of bridge fault coverage for the test pattern can be calculated according to which types of bridge faults each pair is tested and which net of each pair acts as an aggressor for each type of bridge fault tested (675). The measure of bridge fault coverage can be output (680).
    Type: Grant
    Filed: August 15, 2008
    Date of Patent: August 16, 2011
    Assignee: Xilinx, Inc.
    Inventor: Deepak M. Pabari
  • Patent number: 7996741
    Abstract: A low-pin-count scan compression method and apparatus for reducing test data volume and test application time in a scan-based integrated circuit. The scan-based integrated circuit contains one or more scan chains, each scan chain comprising one or more scan cells coupled in series. The method and apparatus includes a programmable pipelined decompressor comprising one or more shift registers, a combinational logic network, and an optional scan connector. The programmable pipelined decompressor decompresses a compressed scan pattern on its compressed scan inputs and drives the generated decompressed scan pattern at the output of the programmable pipelined decompressor to the scan data inputs of the scan-based integrated circuit. Any input constraints imposed by said combinational logic network are incorporated into an automatic test pattern generation (ATPG) program for generating the compressed scan pattern for one or more selected faults in one-step.
    Type: Grant
    Filed: August 24, 2009
    Date of Patent: August 9, 2011
    Assignee: Syntest Technologies, Inc.
    Inventors: Nur A. Touba, Laung-Terng Wang, Zhigang Jiang, Shianling Wu, Jianping Yan
  • Patent number: 7987397
    Abstract: A system and method of testing a wireless communication device during device production comprises designating as a data log buffer when the device is being produced, at least part of random access memory (RAM) of the device that is allocated for virtual machine and/or application usage when the device is operational; and testing the device and storing test log data in the buffer. After testing, the data can be obtained from the buffer and processed using a debugging and log analysis tool.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: July 26, 2011
    Assignee: Research In Motion Limited
    Inventor: Lianghua Yang
  • Patent number: 7987228
    Abstract: The invention relates to communications, particularly but not exclusively broadband communications. One facet of the present invention relates to provisioning of services in a communications network and finds particular, but not exclusive, application in a broadband network environment or other environment where services are provisioned. The provisioning of services will now be discussed in more detail.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: July 26, 2011
    Assignee: Accenture Global Services Limited
    Inventors: Jean Christophe McKeown, Henri Chabrier
  • Patent number: 7984354
    Abstract: Improved responses can be generated to scan patterns (e.g., test patterns) for an electronic circuit designs having timing exception paths by more accurately determining the unknown values that propagate to observation points in the circuit, where the response is captured. For instance, the responses are determined more accurately by analyzing the effect of sensitizing a timing exception path during each time frame associated with a scan pattern. Path sensitization can be determined based on observing whether values injected at starting points of the timing exception paths due to signal transitions and glitches propagate to their end points. The response can be updated by masking the affected end points and propagating unknown values further in the circuit to determine whether they are captured at observation points of the circuit. For instance, the methods and systems described herein may result in reduced unknowns, improved test coverage and test compression.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: July 19, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Dhiraj Goswami, Kun-Han Tsai, Mark Kassab, Janusz Rajski
  • Patent number: 7984353
    Abstract: Provided is a test apparatus that tests a device under test, including a vector expanding section that sequentially generates a plurality of test vectors; a vector selecting section that selects test vectors that cause a prescribed characteristic of the device under test, which is to be measured when test signals that are each based on one of the test vectors are supplied to the device under test, to fulfill a preset condition; and a judging section that judges pass/fail of the device under test based on measured values of the prescribed characteristic of the device under test supplied with the test signal based on the test vectors selected by the vector selecting section.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: July 19, 2011
    Assignees: Advantest Corporation, The University Of Tokyo
    Inventors: Yasuo Furukawa, Gorschwin Fey, Satoshi Komatsu, Masahiro Fujita
  • Patent number: 7979759
    Abstract: A memory hub device with test logic is configured to communicate with memory devices via multiple hub device ports, and is also configured to communicate on one or more busses in an upstream and downstream direction. The test logic includes a built-in self test apparatus providing logic to simultaneously and independently test the memory devices interfaced to one or more of the hub device ports using read and write data patterns. The test logic also includes configuration registers to hold fault and diagnostic information, and to initiate one or more tests. The memory hub device can further include command collision detection logic, a trace array, buffer transmit mode logic, trigger logic, clock adjustment logic, transparent mode logic, and a configured command sequencer, as well as additional features.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: July 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Michael J. Carnevale, Elianne A. Bravo, Kevin C. Gower, Gary A. Van Huben, Donald J. Ziebarth
  • Patent number: 7975198
    Abstract: A test system for performing a test of a device is provided that comprises a source file of a test plan that describes a program for performing a test, and one or more of elements that are formed in a unit that divides the source file into one or more blocks. The test system further comprises an annotatable object that, when debugging of objects of the source file is performed, manages modification details of the debugging with reference to an element corresponding to a portion where the debugging is performed, and a controller that, after the debugging, rewrites the source file with details after the debugging is performed on an element basis based on the element and the annotatable object.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: July 5, 2011
    Assignee: Advantest Corporation
    Inventor: Masaru Yokoyama
  • Patent number: 7971119
    Abstract: A method for defect-based scan analysis comprises, determining a neighborhood net for a circuit node, injecting defects into the neighborhood net, modeling the defects with stuck-at-0 and stuck-at-1 fault models, generating and applying test patterns to the neighborhood net, determining whether the injected defects are observable as faults, adding the test patterns to a set of effective test patterns if the defects are observable, mapping the test patterns to possible stuck-at-0 faults or stuck-at-1 faults, collecting stuck-at-0 and stuck-at-1 fault test patterns, performing stuck-at-0 and stuck-at-1 fault simulations using the stuck-at-0 and stuck-at-1 fault test patterns, respectively, generating first and second fault lists, combining first and second fault lists into combined fault lists, deriving a description of the combined fault lists using a complete set of fault models, filtering the combined fault lists to yield a collection of effective faults, and determining a defect for each of the effective fa
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: June 28, 2011
    Assignee: aiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Will Hsu
  • Patent number: 7971120
    Abstract: In one embodiment, the invention is a method and apparatus covering a multilayer process space during at-speed testing. One embodiment of a method for selecting a set of paths with which to test a process space includes determining a number N of paths to be included in the set of paths such that at least number M of paths in N for which testing of the process space will fail, computing a metric that substantially ensures that the set of paths satisfies the requirements of N and M, and outputting the metric for use in selecting the set of paths.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: June 28, 2011
    Assignee: International Business Machines Corporation
    Inventors: Yiyu Shi, Chandramouli Visweswariah, Jinjun Xiong, Vladimir Zolotov
  • Patent number: 7962822
    Abstract: A generation apparatus and the like for generating a test vector set capable of reducing differences in a logic value generated before and after a scan capture for outputs from scan cells included in a full-scan sequential circuit are provided. A generation apparatus 200 generating an initial test vector set 216 for a logic circuit includes a target vector identification unit 204 identifying a test vector satisfying a predetermined criterion and to be selected for the number of bits (the number of bit transitions) whose logic values differ before and after scan capture with respect to outputs from scan cells included in the sequential circuit, from among test vectors in the initial test vector set 216, and a test vector set conversion unit 206 converting the test vector identified by the test vector identification unit 204 and to be selected so as to reduce the number of bit transitions with respect to outputs from the scan cells included in the sequential circuit.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: June 14, 2011
    Assignees: Japan Science & Technology Agency, Kyushu Institute of Technology, System JD Co., Ltd.
    Inventors: Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Yoshihiro Minamoto, Hiroshi Date
  • Patent number: 7957461
    Abstract: Calibrating automatic test equipment (ATE) includes determining an offset between a reference timing event and a channel event, where the channel event is associated with a communication channel of the ATE, and adjusting signal transmission over the communication channel based on the offset. Determining the offset may include obtaining a first time at which a reference timing signal is received at a device associated with a reference timing source, obtaining a second time at which the reference timing signal is received at a device associated with the communication channel, obtaining a third time at which a channel signal is received at the device associated with the communication channel, obtaining a fourth time at which the channel signal is received at the device associated with the reference timing source, and calculating the offset using the first time, the second time, the third time, and the fourth time.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: June 7, 2011
    Assignee: Teradyne, Inc.
    Inventors: Li Huang, Timothy Derksen, Xiaoxi Zhang, Charles Evans Crapuchettes, Stephen Hauptman
  • Patent number: 7958421
    Abstract: A single-pass, concurrent validation method for generating test pattern sequences for sequential circuits maps fault objects arriving at circuit next-state lines into good next-state fault objects, and passes these mapped results to a next time-frame by placing the good next-state fault objects on present-state lines corresponding to the next-state lines at which to fault objects arrived. Path-enabling functions created during an initial time-frame are reused for all subsequent time-frames, permitting a fault-propagation size and a path-enabling function size to be bounded by a function size established during the initial time-frame. A valid test pattern sequence is found when a primary output line has a good output level that is a complement of a faulty output level for the line. In one embodiment, the determination and comparison of output levels is carried out concurrently.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: June 7, 2011
    Assignee: Yardstick Research, LLC
    Inventor: Delmas R. Buckley, Jr.
  • Patent number: 7949509
    Abstract: For generating a simulation case to verify an operation of an IC device, a database including a plurality of device description files, a plurality of pattern files and a plurality of command files is established. Files stored in the database and corresponding to an IC device are collected. The collected files are parsed to find out entries to be edited. Specified entries are edited by a user according to the operation of the IC device. A simulation case or a plurality of simulation cases are generated according to the entries.
    Type: Grant
    Filed: November 5, 2007
    Date of Patent: May 24, 2011
    Assignee: Via Technologies, Inc.
    Inventors: Cheng-Hao Chen, Jo-Chieh Ma
  • Patent number: 7930609
    Abstract: A circuit verifying method is provided for a logic circuit of a first sequential circuit which outputs a first data based on an input data in synchronization with a first clock signal, and a second sequential circuit which outputs a second data based on the first data in synchronization with a second clock signal with a period longer than that of a first clock signal. The circuit verifying method includes detecting a change of the input data in synchronization with the first clock signal; outputting a data indicating a meta stable state during a period longer than one period of the first clock signal based on the change of the input data as the first data; storing the changed input data in a storage unit based on the change of the input data; and outputting the changed input data which has been stored in the storage unit as the first data after stop the output of the data indicating the meta stable state.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: April 19, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Tsuyoshi Inagawa
  • Patent number: 7930604
    Abstract: A system for receiving serial messages from a device under test includes a deserializer configured to i) receive the serial messages and, ii) based on the serial messages, form data frames. A frame sync module is configured to form Joint Task Action Group (JTAG) data bits based on the data frames. A plurality of virtual JTAG test access ports are configured to i) receive the JTAG data bits and ii) shift the JTAG data bits between the plurality of virtual JTAG test access ports.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: April 19, 2011
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 7921346
    Abstract: A method, system and computer program product for testing the Design-For-Testability/Design-For-Diagnostics (DFT/DFD) and supporting BIST functions of a custom microcode array. Upon completion of the LSSD Flush and Scan tests, the ABIST program is applied to target the logic associated direct current (DC) and alternating current (AC) faults of ABIST array Design-For-Testability/Design-For-Diagnostics DFT/DFD functions that support the microcode array. A LSSD test of the DFT functional combinational logic is performed by applying generated LSSD deterministic test patterns targeting the ABIST design-for-test faults to determine if the DFT supporting the microcode array is functioning correctly. Additional tests may be terminated upon resulting failure of the applied ABIST DFT circuitry surrounding the arrays.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: April 5, 2011
    Assignee: International Business Machines Corporation
    Inventors: Donato Orazio Forlenza, Orazio Pasquale Forlenza, Bryan J. Robbins, Phong T. Tran
  • Publication number: 20110078526
    Abstract: A method and a circuit configuration for simulating fault states in a control unit, as well as a computer program and a computer-program product, are provided. In this context, a multiplexer and a fault-generating circuit are used, the multiplexer being realized using a relay technology, and the fault-generating circuit being implemented using a semiconductor technology.
    Type: Application
    Filed: January 16, 2007
    Publication date: March 31, 2011
    Inventors: Paul Mohr, Henrik Jakoby, Mathias Koehrer, Robert Geiselmann
  • Patent number: 7913143
    Abstract: A test quality evaluating and improving system has a fault-layout information link section which creates a weighted fault dictionary by correlating a layout element related to an undetected fault, out of faults corresponding to a specified fault model and occurring in a circuit to be tested, with the undetected fault as a weight of the undetected fault which cannot be detected by a test pattern for testing the faults; a test quality measure calculating section which multiplies the weight of the undetected fault, the failure mode-fault model correlation factor for correlating the failure mode of the layout element and the fault model, and the failure occurrence rate of each layout element, and outputs an obtained product as a failure remaining rate of the test pattern; a determining section; and a test point inserting section.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: March 22, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yasuyuki Nozuyama
  • Patent number: 7913144
    Abstract: Provided are a diagnostic device and the like providing a favorable diagnosis result by further improving the diagnosis resolution. A diagnostic device 1 has a symbol injection part 3, which is composed of a symbol injection part for an active element 5 and a symbol injection part for a passive element 7, an occurrence probability providing part 9, an equal occurrence probability providing part 11, and a switching part 13. A per-test X-fault diagnosis flow by the diagnostic device 1 consists of a stage for collecting diagnostic information and a stage for drawing diagnostic conclusion. The layout of a deep-submicron LSI circuit usually needs to involve multiple layers, which means that vias are extensively used. Since via information is utilized by the symbol injection part for a passive element 7, it becomes possible to locate defects to the via level, greatly improving the diagnostic resolution.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: March 22, 2011
    Assignees: Japan Science & Technology Agency, Kyushu Institute of Technology, System JD Co., Ltd.
    Inventors: Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Yoshihiro Minamoto, Hiroshi Date
  • Patent number: 7904846
    Abstract: A computer is programmed to automatically generate in memory, goals for functional verification of a design of a circuit by use of constraints that are specified in the normal manner. Specifically, a predetermined set of rules are automatically applied to the constraints, on random values for signals to be input to the circuit during simulation of the design. Application of the rules identifies one or more templates of goal(s) to be met. The computer is programmed to automatically use constraint(s) and template(s) to instantiate goal(s) in memory. Each goal identifies a signal to be input to the circuit, and defines a counter for a value of the signal. The goals are used in the normal manner, i.e. used to measure coverage of functional verification during simulation of the design of the circuit.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: March 8, 2011
    Assignee: Synopsys, Inc.
    Inventors: Shashidhar Anil Thakur, Rahul Hari Dani, Ramnath N. Rao
  • Patent number: 7904286
    Abstract: A computer implemented method, apparatus and computer program product for extending test coverage in a simulated multiple core integrated circuit. The simulator applies at a first time a first test vector on the simulated multiple core integrated circuit, the first test vector having a duration. The simulator may also apply a second test vector at a second time before the duration but substantially after the first time. The simulator can collect a response from the multiple core integrated circuit based on the first test vector and the second test vector.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: March 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Duy Quoc Huynh, Gahn Wattanadilok Krishnakalin, Giang Chau Nguyen
  • Patent number: 7900112
    Abstract: Some embodiments provide a method of digital logic design and digital logic testing of logic under test, the logic including latches, the latches including measure latches, which are latches that measure focal faults more than other latches, and care bit latches, which are latches that require specific input values to test a fault, wherein a focal fault is a randomly selected untested fault in the logic under test, the method comprising generating test patterns for the logic under test; fault simulating the test patterns on the logic under test; ranking measure latches based on the number of focal faults they respectively measure; and tracing back a number of levels from at least some of the highest ranked measure latches and inserting test observe latches. Other methods and systems are also provided.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: March 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Kenneth Pichamuthu, Prakash Venkitaraman, Andrew Ferko
  • Patent number: 7886247
    Abstract: In one embodiment, the invention is a method and apparatus for statistical path selection for at-speed testing. One embodiment of a method for selecting a path of an integrated circuit chip for at-speed testing includes computing a process coverage metric for a plurality of paths in the integrated circuit chip and selecting at least one path that maximizes the process coverage metric.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Hanif Fatemi, Chandramouli Visweswariah, Jinjun Xiong, Vladimir Zolotov
  • Patent number: 7881303
    Abstract: In an embodiment, a node comprises a packet scheduler configured to schedule packets to be transmitted on a link and an interface circuit coupled to the packet scheduler and configured to transmit the packets on the link. The interface circuit is configured to generate error detection data covering the packets, wherein the error detection data is transmitted between packets on the link. The interface circuit is configured to cover up to N packets with one transmission of error detection data, where N is an integer >=2. The number of packets covered with one transmission of error detection data is determined by the interface circuit dependent on an availability of packets to transmit. In another embodiment, the interface circuit is configured to dynamically vary a frequency of transmission of the error detection data on the link based on an amount of bandwidth being consumed on the link.
    Type: Grant
    Filed: December 13, 2006
    Date of Patent: February 1, 2011
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: William A. Hughes, Chen-Ping Yang, Greggory D. Donley, Michael K. Fertig
  • Patent number: 7882409
    Abstract: Proposed are methods and apparatuses for synthesis of a new class of compressors called augmented multimode compactors, capable of achieving a flexible trade-off between compaction ratio, observability, control data volume and diagnostic properties in the presence of a large number of unknown values. The augmented multimode compactors reduce and/or completely avoid the X-masking effect in the compacted test responses. In addition, a requirement for constructing compactors is that any single error in the test response produces a unique erroneous signature within S consecutive shift cycles where the erroneous signature is calculated as a difference between the faulty signature and the fault-free signature.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: February 1, 2011
    Assignee: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Patent number: 7877659
    Abstract: Techniques are provided for modeling memory operations when generating test cases to verify multi-processor designs. Each memory operation has associated therewith a set of transfer attributes that can be referenced by a test generator. Using the transfer attributes, it is possible to generate a variety of interesting scenarios that handle read-write collisions and generally avoid reloading or resources. The model provides accurate result prediction, and allows write access restrictions to be removed from sensitive memory areas, such as control areas.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: January 25, 2011
    Assignee: International Business Machines Corporation
    Inventors: Felix Geller, Yehuda Naveh
  • Patent number: 7865789
    Abstract: A system and method for verifying system-on-chip interconnect includes a first linear feedback shift register coupled to an output interface of a first system-on-chip component, a second linear feedback shift register instantiated in a second system-on-chip component, and a comparator coupled to the second linear feedback shift register and the input interface of the second system-on-chip. Another method for verifying includes generating a pseudo-random number sequence with the first linear feedback shift register and the second linear feedback shift register using an identical first initial state, and comparing an output of the first linear feedback shift register with an output of the second linear feedback shift register and reporting a miss-compare.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Serafino Bueti, Adam Courchesne, Kenneth J. Goodnow, Gregory J. Mann, Jason M. Norman, Stanley B. Stanski, Scott T. Vento